多路选择器(multiplexer)简介

简称:多路器

功能:多输入  单输出   组合逻辑电路

verilog代码实现:

module Mux_8(addr,in1,in2,in3,in4,in5,in6,in7,in8,Mout,nCS);

input[2:0] addr;

input[width-1:0] in1,in2,in3,in4,in5,in6,in7,in8;

input nCS;

output[width-1:0] Mout;

reg[width-1:0] Mout;

parameter width=8;

[email protected](addr or in1 or in2 or in3 or in4 or in5 or in6 or in7 or in8 or nCS)

  begin

    if(!CS)

      case(addr)

      3‘b000: Mout=in1;

      3‘b001: Mout=in2;

      3‘b010: Mout=in3;

      3‘b100: Mout=in4;

      3‘b101: Mout=in5;

      3‘b110: Mout=in6;

      3‘b111: Mout=in7;

      endcase

    else

      Mout=0;

  end

endmodule

*************************************************************

made by qidaiYMM, your comment is appreciated.

email:[email protected]

时间: 2024-08-01 20:52:34

多路选择器(multiplexer)简介的相关文章

两种不同的多路选择器?

综合后遇到两种不同的多路选择器. 第一种,通过sel输入选择输出是A[7:0]还是E[7:0]. 第二种,通过sel输入选择输出是ABCD中的某一个. 值得注意的是当ABCD变成多位的时候,综合后的结果就变成这样了: 看起来MUX只能做一位的选择,不能选择多位向量. 我想知道前两种“多路选择器都是一样的吗?”

多路选择器实现总线结构——Verilog

1 ////////////////////////////////////////////////////////////////////////////////// 2 //该程序完成通过多路选择器MUX完成总线读写的功能. 3 module MuxBus(input request1,input request2,input request3,input request4,input[7:0] unit0_out,input[7:0] unit1_out, 4 input[7:0] uni

Verilog 二选一多路选择器 Modelsim设计。

一个简单的二选一多路选择器 逻辑图 Verilog源程序 module Mux_Two ( input a, //Data input b, //Data input sl, //High: b ;Low: a output reg out ); always@(sl or a or b) if(!sl) out=a; else out=b; endmodule Modelsim架构文件 a为输入25MHz方波,b为输入12.5MHz的方波,sl为输入6.25MHz的方波.sl为高电平时,out

多路选择器,加法器原理及verilog实现

1.数据选择器是指经过选择,把多个通道的数据传到唯一的公共数据通道上.实现数据选择功能的逻辑电路称为数据选择器,它的作用相当于多个输入的单刀多掷开关.本例程以四选一数据选择器(电平触发)为例. 四选一数据选择器书堆 4 个数据源进行选择, 使用量为地址 A1A0 产生 4 个地址信号,由 A1A0 等于"00" . "01" . "10" . "11"来选择输出,真值表如下: 对应的verilog代码为 module mux4

go路由httprouter中的压缩字典树算法图解及c++实现

目录 go路由httprouter中的压缩字典树算法图解及c++实现 前言 httprouter简介 压缩字典树 概念 插入操作 查询操作 c+++实现 go路由httprouter中的压缩字典树算法图解及c++实现 @ 前言 准备从嵌入式往go后端转,今年准备学习一下gin框架,决定先从这个轻量级的路由请求器着手,本文讲讲它用到的压缩字典树算法. httprouter简介 HttpRouter是一个Go编写的轻量级的高性能Http请求路由器(也可称为多路选择器multiplexer简称mux)

Flume官方文档翻译——Flume 1.7.0 User Guide (unreleased version)(二)

Flume官方文档翻译--Flume 1.7.0 User Guide (unreleased version)(一) Logging raw data(记录原始数据) Logging the raw stream of data flowing through the ingest pipeline is not desired behaviour in many production environments because this may result in leaking sensit

verilog学习(7)实战之扫描链

一:可侧性设计简介(DFT) 1:什么是可测性? 相当于debug中给程序段加入断点. 设计工具自动将扫描插入到设计中,在设计通过仿真后,在设计阶段的后期,扫描被插入到设计中.扫描的目的是未来观察一个设计或者是整个芯片的内部变化. 2:JTAG 用一组特别的测试端口来控制扫描操作,这组端口被称为JTAG. 二:简单扫描举例 我们在实战一中加入扫描链 1:在Intro_Top中加入JTAG测试端口,加入5个1比特端口,先不连接它们,这5个端口分别是:ScanMode,ScanIn,ScanOut,

【STM32H7教程】第39章 STM32H7的DMAMUX基础知识(重要)

完整教程下载地址:http://www.armbbs.cn/forum.php?mod=viewthread&tid=86980 第39章       STM32H7的DMAMUX基础知识(重要) 本章教程为大家讲解DMAMUX(Direct memory access request multiplexer,直接存储器访问请求复用器),本章知识点非常重要,是掌握好DMA1,DMA2和BDMA的关键一步. 39.1 初学者重要提示 39.2 DMAMUX基础知识 39.3 DMAMUX的HAL库

【小梅哥FPGA进阶教程】第九章 基于串口猎人软件的串口示波器

九.基于串口猎人软件的串口示波器 1.实验介绍 本实验,为芯航线开发板的综合实验,该实验利用芯航线开发板上的ADC.独立按键.UART等外设,搭建了一个具备丰富功能的数据采集卡,芯航线开发板负责进行数据的采集并将数据通过串口发送到PC机上,PC端,利用强大的串口调试工具--串口猎人,来实现数据的接收分析,并将数据分别以波形.码表.柱状图的形式动态显示出来,以让使用者能够直观的看到ADC采集到的信号细节.同时,用户也可以使用串口猎人通过串口给下位机(FPGA)发送指令,下位机将对接收到的指令进行解