FPGA学习的一点总结



做FPGA主要是要有电路的思想,作为初学者,往往对器件可能不是熟悉,那么应该要对数字电路的知识熟悉。

FPGA中是由触发器和查找表以及互联线等基本结构组成的,其实在逻辑代码里面能够看到的就是与非门以及触发器。

不要把verilog和c语言等同起来,根本就是不同的东西,没有什么可比性,在写一句程序的时候应该想到出来的是一个什么样的电路。

计数器选择器 三态门等等,理解时序,逻辑是一拍一拍的东西,在设计初期想的不是很清楚的时候可以画画时序图,这样思路会更加的清晰。

还有就是仿真很重要,不要写完程序就去往FPGA中去加载,首先要仿真,尤其是对比较大型一点的程序。

因为对于asic,是没有二次机会的,所以一定要把仿真做好。

还有很多新手对于语言的学习不知道选vhdl好还是verilog好,两者都是硬件描述语言,没有优劣之分,写出来的都是电路,所有就不要在语言的语法上面花太多的功夫了。

由于verilog 言简意赅assign always case if else 掌握这些几乎可以写出90%的电路了,所以初学者可以先学习verilog HDL。



版权所有权归卿萃科技 杭州FPGA事业部,转载请注明出处

作者:杭州卿萃科技ALIFPGA

原文地址:杭州卿萃科技FPGA极客空间 微信公众号



扫描二维码关注杭州卿萃科技FPGA极客空间



FPGA学习的一点总结

原文地址:https://www.cnblogs.com/alifpga/p/9017941.html

时间: 2024-08-24 17:19:56

FPGA学习的一点总结的相关文章

如何学习FPGA?FPGA学习必备的基础知识

如何学习FPGA?FPGA学习必备的基础知识 时间:2013-08-12 来源:eepw 作者: 关键字:FPGA   基础知识 FPGA已成为现今的技术热点之一,无论学生还是工程师都希望跨进FPGA的大门.网络上各种开发板.培训班更是多如牛毛,仿佛在告诉你不懂FPGA你就OUT啦.那么我们要玩转FPGA必须具备哪些基础知识呢?下面我们慢慢道来. (一) 要了解什么是FPGA 既然要玩转FPGA,那我们首先最重要的当然是要了解什么FPGA.FPGA(Field-Programmable Gate

FPGA学习的一些误区

[转载].FPGA学习的一些误区 转载自网络,作者不详. 我常年担任多个有关FPGA学习研讨的QQ群管理员,长期以来很多新入群的菜鸟们总是在重复的问一些非常简单但是又让新手困惑不解的问题.作为管理员经常要给这些菜鸟们普及基础知识,但是非常不幸的是很多菜鸟怀着一种浮躁的心态来学习FPGA,总是急于求成.再加上国内大量有关FPGA的垃圾教材的误导,所以很多菜鸟始终无法入门.为什么大量的人会觉得FPGA难学?作为著名FPGA提供商Altera授权的金牌培训师,本管理员决心开贴来详细讲一下菜鸟觉得FPG

转载FPGA学习之内嵌乘法器调用

补充一点,除法的时候如果直接a/b那么就会调用lpm模块,不管输入是否是常数,乘法的时候输入都是reg型变量会调用硬件乘法器,有一个是常数就会调用lpm模块. 上课的时候一直听老师说真正实践的时候你别想着要自己写一个乘法器,那样子做的孩子是笨蛋. 不管老师说得对不对,总之,既然FPGA内部有硬件乘法器那么为啥不直接使用呢,而且在写verilog使用是非常简单的,只是用个*号就轻易搞定. 只要所使用的FPGA内嵌有乘法器,则综合软件在综合的时候就会自动帮你调用乘法器实现. 下面是一段简单代码: m

芯航线FPGA学习套件之多通道串行ADDA(TLV1544,TLC5620)模块测试手册

芯航线FPGA学习套件之多通道串行ADDA模块测试手册 ? 本手册以简明扼要的方式介绍芯航线FPGA学习套件提供的ADDA模块的测试方法: ? 连接开发板,如下所示: 2.将ADDA V1.1模块与开发板按如下图所示连接: 打开测试工程DA_AD_v1.1,如下图所示: 烧写DA_AD.sof文件到开发板中 ? 打开SignalTap II 文件,如下图所示: 运行SignalTap II,观察窗口中波形: ? 如果整个波形能够如上图,即1544和5620的两路数据都呈正弦波,则表明ADDA模块

芯航线FPGA学习套件之4*4矩阵键盘模块测试手册

芯航线FPGA学习套件之4*4矩阵键盘模块测试手册 ? 本手册以简明扼要的方式介绍芯航线FPGA学习套件提供的矩阵键盘模块的测试方法: ? 连接开发板,如下所示: 2.将矩阵键盘模块与开发板按如下图所示连接: 具体连接线序,可参看下图: 打开测试工程NEW_Key_Board,如下图所示: 烧写NEW_Key_Board.sof文件到开发板中 按下任意按键,核对当前按键值与LED灯状态是否一致,下图所示: 上图,按下按键A,LED[0:3]对应状态为亮灭亮灭,因为0使能LED亮,1关闭LED灯,

FPGA学习之串口组合多字节问题

FPGA学习之串口组合多字节问题,前一帖子在学习的过程中发现在PC发送多个字节的时候,PC接受到的字节除了第一个后面可能都不对.经过网上学习以及对比之前的源码,发现了问题所在. 一.复习串口数据一帧的基本组成 二.串口调试助手 由于串口助手在发送的时候,无校验位,所以每个字节实际上是10位的,故我们的源码里面相应的也要改为10位一帧,不然会导致时序不一致,接受出现错误. 三.程序修改如下: 四.实验结果 终于如愿以偿了!!!

FPGA学习笔记(二)——FPGA学习路线及开发流程

###### [该随笔部分内容转载自小梅哥]       ######### 一.FPGA学习路线 工具使用 -> 语法学习 -> 逻辑设计 -> IP使用 ->接口设计 -> 时序分析 -> 片上系统 1.工具使用 Altera:Quartus II Xlinx: Vivado 2.语法学习 Verilog HDL(FPGA设计的是电路) 3. 逻辑设计 组合逻辑:多路选择器.加法器.译码器.乘法器 ······· 时序逻辑:计数器.分频器.移位寄存器.定时器 ···

关于Python学习的一点说明

关于Python学习的一点说明 我是用什么地方的资料来学习python的呢? 答案当然是鼎鼎大名的GitHub了. 5万多星推荐,100天让你从入门到精通,你值得拥有,点我进入查看 原文地址:https://www.cnblogs.com/alking1001/p/11437876.html

FPGA学习之数码管(封装)显示时间

一.实验目的:学习数码管封装以及显示时间.二.实验环境:FPGA开发板AX301,Quartus ii三.实验介绍:将数码管显示模块封装起来,同时通过不断读取RTC时钟的时分秒值,将之显示在数码管.实验时,将实时时间的时分秒写入程序,运行程序后可以看到数码管显示的时间会不断的刷新.四.源码 module smg_interface_demo ( input CLK, input RSTn, output RST, output SCLK, inout SIO, output [7:0]SMG_D