Verilog中变量位宽注意

Verilog中,变量定义方式可以为:reg[位宽-1:0] 数据名;reg[位宽:1] 数据名。其他变量也类似。

以reg变量cnt为例,当cnt位宽为4时,可定义为reg[3:0] cnt,或者定义为reg[4:1] cnt

当cnt赋值为3时,reg[3:0] cnt;cnt=3 等效为 cnt[3]=0,cnt[2]=0,cnt[1]=1,cnt[0]=1;

reg[4:1] cnt;cnt=3 等效为 cnt[4]=0,cnt[3]=0,cnt[2]=1,cnt[1]=1;

当cnt被定义为reg[0:3] cnt;时,reg[0:3] cnt;cnt=3 等效为 cnt[0]=0,cnt[1]=0,cnt[2]=1,cnt[3]=1;

所以定义时需要注意变量的高位和低位。

时间: 2024-08-08 09:42:39

Verilog中变量位宽注意的相关文章

verilog中符号位的扩展问题

以下内容转自艾米电子 - 使用有符号数,Verilog(http://www.cnblogs.com/yuphone/archive/2010/12/12/1903647.html) Verilog-1995中的有符号数 在Verilog-1995中,只有integer数据类型被转移成有符号数,而reg和wire数据类型则被转移成无符号数.由于integer类型有固定的32位宽,因此它不太灵活.我们通常使用手动加上扩展位来实现有符号数运算.下面的代码片段将描述有符号数和无符号数的运算: 1 re

C++中输出 位宽和小数点后位数 的控制

要用到这个头文件: <iomanip> setw(x) : 表示控制输出x的位宽 setprecision(x) :表示 控制输出小数点后 x 位 cout.precision(x): 表示控制输出的 该数值的5个数字 例如:y=1.0456789 cout.precision(3); cout<<y<<endl; 输出为:1.04 (包含3个数字) #include <iostream> #include <iomanip> using nam

verilog中的function用法与例子

转http://www.cnblogs.com/hfyfpga/p/4280946.html 函数的功能和任务的功能类似,但二者还存在很大的不同.在 Verilog HDL 语法中也存在函数的定义和调用. 1.函数的定义 函数通过关键词 function 和 endfunction 定义,不允许输出端口声明(包括输出和双向端口) ,但可以有多个输入端口.函数定义的语法如下: function [range] function_id;    input_declaration    other_d

Verilog中generate语句的用法

在Verilog-2001中新增了语句generate,通过generate循环,可以产生一个对象(比如一个元件或者是一个模块)的多次例化,为可变尺度的设计提供了方便,generate语句一般在循环和条件语句中使用,为此,Verilog-2001增加了四个关键字generate,endgenerate, genvar, localparam,genvar是一个新增的数据类型,用在generate的循环中的标尺变量必须定义为gnevar类型. 首先设计一个1bit位宽的buffer_1,这里有几点

关于verilog中的signed类型

在数字电路中,出于应用的需要,我们可以使用无符号数,即包括0及整数的集合:也可以使用有符号数,即包括0和正负数的集合.在更加复杂的系统中,也许这两种类型的数,我们都会用到. 有符号数通常以2的补码形式来表示.图1列出了4位二进制表示法所对应正负数.进一步观察,我们发现两种类型数的加减法是一样的,做加法和减法就是 在数轮上按正时钟转转或按反时钟转.比方说,1001+0100,意味着从1001按照顺时钟方向移动4个位置,其结果为1101.在无符号数类型中,它 代表(+9)+(+4)=+13:而在有符

Verilog中函数与任务比较

http://blog.163.com/taofenfang_05/blog/static/64214093201181692057682/ 任务和函数只能实现组合逻辑,而对时序逻辑无能为力. 1  任务 任务就是一段封装在“task-endtask”之间的程序.任务是通过调用来执行的,而且只有在调用时才执行,如果定义了任务,但是在整个过程中都没有调用它,那么这个任务是不会执行的.调用某个任务时可能需要它处理某些数据并返回操作结果,所以任务应当有接收数据的输入端和返回数据的输出端. 任务可以彼此

verilog中function的使用

函数的功能和任务的功能类似,但二者还存在很大的不同.在 Verilog HDL 语法中也存在函数的定义和调用. 1.函数的定义 函数通过关键词 function 和 endfunction 定义,不允许输出端口声明(包括输出和双向端口) ,但可以有多个输入端口.函数定义的语法如下: function [range] function_id;    input_declaration    other_declarations    procedural_statement endfunction

Chisel3 - 运算符和位宽推断

https://mp.weixin.qq.com/s/rI-CJM6GyI6EUHPZ3uYiFg 如同Verilog中的众多运算符,Chisel也针对自身的数据类型,提供了很多运算符. Chisel语言内嵌于Scala.在Scala中,基本上所有的运算符都被当做一般的字符,可以作为命名符号(identifier)的一部分.并且Scala支持省略函数调用时,括在参数两边的括号.所以Scala中定义运算符重载很简单,可以很大的提高代码的可读性. 1. 运算符 参考链接: https://githu

关于Verilog 中的for语句的探讨

在C语言中,经常用到for循环语句,但在硬件描述语言中for语句的使用较C语言等软件描述语言有较大的区别. 在Verilog中除了在Testbench(仿真测试激励)中使用for循环语句外,在Testbench中for语句在生成激励信号等方面使用较普遍,但在RTL级编码中却很少使用for循环语句.主要原因就是for循环会被综合器展开为所有变量情况的执行语句,每个变量独立占用寄存器资源,每条执行语句并不能有效地复用硬件逻辑资源,造成巨大的资源浪费.简单的说就是:for语句循环几次,就是将相同的电路