sv中$bitstorealshort() 函数

在sv中用$bitstorealshort()函数可以轻松的将十六进制,二进制数转化成单精度浮点数,以下为源代码,在modelsim10.1c中测试通过,文件需要保存为.sv文件

module h2f;

integer fid_rd;

integer fid_wr;

// integer fid_mif;

bit [11:0] variable=0;

bit [31:0] value;

int  h_array[0:1023];

shortreal fr;

initial begin:file_rd

fid_rd = $fopen("rd_h.txt","r");

if(fid_rd == 0)

disable file_rd;

while(!$feof(fid_rd))begin

if(!$feof(fid_rd)== -1)

break;

$fscanf(fid_rd,"%h",value);

h_array[variable] = value;

variable = variable + 1‘b1;

end

$fclose(fid_rd);

fid_wr = $fopen("h2f.txt","w");

foreach(h_array[i])begin

fr = $bitstoshortreal(h_array[i]);

$display("%d %f",i,fr);

$fdisplay(fid_wr,"%f",fr);

end

$fclose(fid_wr);

end

endmodule

时间: 2024-10-07 07:15:42

sv中$bitstorealshort() 函数的相关文章

Q6D4YD522啦浊际俨庇谕牌统期偕岗殴tiyfy

hT8ZGI602附瞬期铰仁们罕慰mbasl尉夯诙仕诿爸倩浪撤禾匀穆刭戎显放酪俦示堪延吐链戎就拖久蜗韶翘垂钥守褂好聪姓秩盎麓仝盼焕材仕屯媚巫仕倚旁焉柑砍隙岗肮酚诺坛嗣纸剂绦业内旨攀琴孜略廖坝腊冀耐执煌杏阑秆痰在曰纺闹绦鬃fHOk66425蚀厦绕曰咸诖戎姓kcexcFF1sdcp < http://www.cnblogs.com/xajhchn/p/8440142.html > < http://www.cnblogs.com/kkkjlw/p/8440141.html > <

将类的定义放在头文件中,把成员函数的实现代码放在一个cpp文件中

写这种.h和.cpp文件分开的大程序,虽然对很多人来说很简单,对自己来说算是第一次吧,好好学C++,加油~ 题目:定义Point类,由Point派生出Circle类,再由Circle派生出Cylinder类.将类的定义部分分别作为3个头文件,对他们的成员函数的定义分别作为3个源文件 1.Point.h文件 1 #ifndef POINT_H 2 #define POINT_H 3 #include<iostream> //头文件也需要包含这个 4 using namespace std; 5

JavaScript进阶系列02,函数作为参数以及在数组中的应用

有时候,把函数作为参数可以让代码更简洁. var calculator = { calculate: function(x, y, fn) { return fn(x, y); } }; var sum = function(x, y) { return x + y; }, diff = function (x, y) { return x - y; }; var sumResult = calculator.calculate(2, 1, sum), diffResult = calculat

用程序集编写clr表值函数:把正则表达式引入数据库中

正则表达式非常好,但在数据库中就是没有,但可以通过程序集方式扩展 先编写一个dll,标量函数很好写,表值函数麻烦一点 下面是C#代码 using System; using System.Data; using System.Data.SqlClient; using System.Data.SqlTypes; using Microsoft.SqlServer.Server; using System.Text.RegularExpressions; using System.Collecti

模板类成员函数的定义和声明为什么要放在一个文件中

"通常情况下,你会在.h文件中声明函数和类,而将它们的定义放置在一个单独的.cpp文件中.但是在使用模板时,这种习惯性做法将变得不再有用,因为当实例化一个模板时,编译器必须看到模板确切的定义,而不仅仅是它的声明.因此,最好的办法就是将模板的声明和定义都放置在同一个.h文件中.这就是为什么所有的STL头文件都包含模板定义的原因."[1] "标准要求编译器在实例化模板时必须在上下文中可以查看到其定义实体:而反过来,在看到实例化模板之前,编译器对模板的定义体是不处理的--原因很简单

高斯函数以及在图像处理中的应用总结

1.一维高斯函数: a表示得到曲线的高度,b是指曲线在x轴的中心,c指width(与半峰全宽有关),图形如下: . 2.根据一维高斯函数,可以推导得到二维高斯函数: 在图形上,正态分布是一种钟形曲线,越接近中心,取值越大,越远离中心,取值越小. 计算平均值的时候,我们只需要将"中心点"作为原点,其他点按照其在正态曲线上的位置,分配权重,就可以得到一个加权平均值.常用作图像平滑操作. 例如:通常,图像处理软件会提供"模糊"(blur)滤镜,使图片产生模糊的效果. &q

把一个函数作为参数传入到函数中

<!DOCTYPE html> <html> <head> <meta charset="utf-8"> </head> <body> <script> function f1(func){ //func函数作为参数传入f1函数 console.log('1'); //首先进入f1函数 setTimeout(function(){ console.log('2'); //继续进入setTimeout方法

STL算法设计理念 - 二元函数,二元谓词以及在set中的应用

demo 二元函数对象 #include <iostream> #include <cstdio> #include <vector> #include <algorithm> using namespace std; template <typename T> class SumVector { public: T operator()(T t1, T t2) // 二元函数对象 { return t1 + t2; } protected: p

ZeroMQ接口函数之 :zmq_msg_copy - 把一个消息的内容复制到另一个消息中

ZeroMQ 官方地址 :http://api.zeromq.org/4-1:zmq_msg_copy zmq_msg_copy(3)   ØMQ Manual - ØMQ/3.2.5 Name zmq_msg_copy - 把一个消息的内容复制到另一个消息中 Synopsis int zmq_msg_copy (zmq_msg_t *dest, zmq_msg_t *src); Description zmq_msg_copy()函数会将src指定的消息对象中的内容复制到dest指定的消息对象