vivado保存debug波形

html, body {
font-size: 12px;
}

body {
font-family: Arial, Helvetica, "Hiragino Sans GB", 微软雅黑, "Microsoft YaHei UI", SimSun, SimHei, arial, sans-serif;
line-height: 1.6;
color: black;
background-color: #CCE8CF;
margin: 0;
padding: 16px 20px;
}

h1, h2, h3, h4, h5, h6 {
margin: 20px 0 10px;
margin: 1.33rem 0 0.667rem;
padding: 0;
font-weight: bold;
}

h1 {
font-size: 21px;
font-size: 1.4rem;
}

h2 {
font-size: 20px;
font-size: 1.33rem;
}

h3 {
font-size: 18px;
font-size: 1.2rem;
}

h4 {
font-size: 17px;
font-size: 1.13rem;
}

h5 {
font-size: 15px;
font-size: 1rem;
}

h6 {
font-size: 15px;
font-size: 1rem;
color: #777777;
margin: 1rem 0;
}

div, p, ul, ol, dl, li {
margin: 0;
}
blockquote, table, pre, code{
margin: 8px 0;
}

ul, ol {
padding-left: 32px;
padding-left: 2.13rem;
}

blockquote {
border-left: 4px solid #dddddd;
padding: 0 12px;
padding: 0 0.8rem;
}

blockquote > :first-child {
margin-top: 0;
}

blockquote > :last-child {
margin-bottom: 0;
}

img {
border: 0;
max-width: 100%;
height: auto !important;
margin: 2px 0;
}

table {
border-collapse: collapse;
border: 1px solid #bbbbbb;
}

td {
padding:4px 8px;
border-collapse: collapse;
border: 1px solid #bbbbbb;
}

@media screen and (max-width: 660px) {
body {
padding: 20px 18px;
padding: 1.33rem 1.2rem;
}
}

@media only screen and (-webkit-max-device-width: 1024px), only screen and (-o-max-device-width: 1024px), only screen and (max-device-width: 1024px), only screen and (-webkit-min-device-pixel-ratio: 3), only screen and (-o-min-device-pixel-ratio: 3), only screen and (min-device-pixel-ratio: 3) {
html, body {
font-size: 17px;
}

body {
line-height: 1.7;
padding: 0.75rem 0.9375rem;
color: #353c47;
}

h1 {
font-size: 2.125rem;
}

h2 {
font-size: 1.875rem;
}

h3 {
font-size: 1.625rem;
}

h4 {
font-size: 1.375rem;
}

h5 {
font-size: 1.125rem;
}

h6 {
color: inherit;
}

ul, ol {
padding-left: 2.5rem;
}

blockquote {
padding: 0 0.9375rem;
}
}

Vivado下debug后的波形通过图形化界面并不能保存抓取到波形,保存按钮只是保存波形配置,如果需要保存波形需要通过TCL命令来实现

write_hw_ila_data 0730_ila_1 [upload_hw_ila_data hw_ila_1]

write_hw_ila_data 0730_ila_2 [upload_hw_ila_data hw_ila_2]

0730_ila_1为保存的文件名,需要带路径,hw_ila_1为你的ILA的名字,[]中为对应的ILA核。

如果要读取已保存的波形,可以用下面的命令:

read_hw_ila_data 0730_ila_1.ila

display_hw_ila_data

read_hw_ila_data 0730_ila_2.ila

display_hw_ila_data

多个ILA核时,必须带[]

另外可以使用write_hw_ila_data -help 查看说明

来自为知笔记(Wiz)

时间: 2024-08-02 01:39:39

vivado保存debug波形的相关文章

Vivado中debug用法

Vivado和ISE相比ChipScope已经大幅改变,很多人都不习惯.在ISE中称为ChipScope而Vivado中就称为in system debug.下面就介绍Vivado中如何使用debug工具. Debug分为3个阶段:1. 探测信号:在设计中标志想要查看的信号2. 布局布线:给包含了debug IP的设计布局布线3. 分析:上板看信号 一 探测信号探测信号有2种方法一种是直接在HDL源代码中用(*mark_debug = "true"*)标识出要探测的信号 另一种是 在综

modelsim保存仿真波形

一.保存为.wlf文件 1.完成仿真波形: 2.切换到sim视窗: 3.点击保存图标保存: 4.打开:file菜单打开.wlf文件,选中窗口中的信号右击,add to wave...即可. 二.保存为.do文件 1.保存 file->save format->xxx.do,当然可以自定义路径及文件名: 2.加载 在sim视窗下,file->load: 3..do文件记录了仿真过程命令.

Vivado ILA观察信号和调试过程

先简单介绍一下ILA(Integrated Logic Analyzer)生成方法.这里有两种办法完成Debug Core的配置和实现. 方法一.mark_debug综合选项+Set Up Debug设定ILA参数. 1.在信号(reg或者wire)声明处加mark_debug选项,方法如下: // spi_mosi信号标记为需要ILA观测的信号 (* MARK_DEBUG = “TRUE” *) wire spi_mosi; mark_debug用法的详细说明请看Xilinx文档UG901_S

Isim你不得不知道的技巧(整理)

来源:电子产品世界: 注:本文由本人多出整理所得,原文章图片不清晰,自己整理配图后重新发表 安装好ISE,系统已经自带了ISim仿真软件,相比于专业的仿真软件Modelsim,ISim是免费的,不用编译库,小型设计仿真速度较快,对于轻量级的设计应该是完全足够的.Modelsim作为专业的仿真软件,具备了ISim的所有功能,同时还具备了ISim不具备的功能比如波形显示,任意添加中间变量到波形图中,数据导出等.不过能够真正用好ISim,掌握住仿真技巧,你就无敌啦. 先来看看ISim的界面吧,跟ISE

Icarus Verilog和GTKwave使用简析

Icarus Verilog和GTKwave使用简析 来源 http://blog.csdn.net/husipeng86/article/details/60469543 本文测试文件在windows10和windows7上测试通过,其它平台请参考官方文档 下载安装 由于Icarus Verilog中已经包含了GTKWave所以直接从http://bleyer.org/icarus/下载安装,这里提供的为Windows版,我下载的为当前时间最新版:iverilog-10.1.1-x64_set

内核函数KiFastCallEntry

KiFastCallEntry() 机制分析 概述 Win32 子系统 API 调用 ntdll!ZwWriteFile() 函数 ntdll!KiFastSystemCall() 函数 _KUSER_SHARED_DATA 结构 切入 KiFastCallEntry() 函数 读取 TSS 信息 KTRAP_FRAME 结构 系统服务例程号与 ServiceTable ServiceTable ServiceTable entry 读取目标例程地址和参数size KiFastCallEntry

SystemVerilog Testbench学习总结(Lab1)

1.ntb_template -t router router.v 执行该命令会生成3个文件(命令中router.v是dut) a.router.if.vrh,包含信号端口的方向(相对于dut).位宽,可将此信号加上类型(logic或者bit),去掉方向,作为interface中的信号声明.将此信号去掉位宽来作为interface中clocking模块中同步信号的声明: b.router.test_top 此文件中会产生仿真时钟信号,接口例化等信号,仿真时钟可直接拷贝到Test Harness

Git 系列(三):建立你的第一个 Git 仓库

现在是时候学习怎样创建你自己的 Git 仓库了,还有怎样增加文件和完成提交. 在本系列前面的文章中,你已经学习了怎样作为一个最终用户与 Git 进行交互:你就像一个漫无目的的流浪者一样偶然发现了一个开源项目网站,克隆了仓库,然后你就可以继续钻研它了.你知道了和 Git 进行交互并不像你想的那样困难,或许你只是需要被说服现在去使用 Git 完成你的工作罢了. 虽然 Git 确实是被许多重要软件选作版本控制工具,但是并不是仅能用于这些重要软件:它也能管理你购物清单(如果它们对你来说很重要的话,当然可

ARM-ELF文件格式与GNU ARM Linker机制

这里所说的ARM系统基本文件格式,都是在基于ARM的嵌入式系统开发中常会碰到的文件格式. ARM系统基本文件格式有三种: 1) BIN,平板式二进制格式,一般用于直接烧写到Flash中,也可以用于加载到monitor程序中. 2) ELF,EXECUTABLE AND LINKABLE FORMAT,一种通用的OBJECT文件格式,一般由GNU COMPILER COLLECTION (GCC)产生. 3) AXF,BIN格式的扩展版,主体部分同BIN,在文件头和尾加入了调试用的信息,用于AXD