Questa Sim之Command

公司里用Questa Sim做仿真,其实跟ModelSim差不多,总结常用的命令如下。

1  启动

vsim -gui

2  编译 -- VCOM

vcom
 [-2008 | -2002 | -93 | -87]  Choose VHDL 2008, 2002, 1993, or 1987
 [-explicit]             Resolve ambiguous overloads
 [-work <libname>]         Specify work library
 <filename(s)>             VHDL file(s) to be compiled

3  仿真 -- VSIM

vsim
 [-t [<mult>]<unit>]   Time resolution

4 Example

# Clear former sim
quit  -sim

# Make library directory structure
if {[file exits work] == 0}
{
    vlib work
    vmap work work
}

# Compile files
set srcpath ../hdl

vcom -93 -explicit -work work $srcpath/spi_master.vhd

# Simulation commands
vsim -t 1ps tb_spi

add wave -noupdate -radix hex -group mut mut:*
configure wave -timelineunits ms
configure wave -namecolwidth 170
configure wave -valuecolwidth 75
configure wave -signalnamewidth 1

run 1 ms
wave zoom full
时间: 2024-12-08 12:59:59

Questa Sim之Command的相关文章

Gazebo与ros_control(1):让模型动起来

不久前,师弟问了我一个问题:"师兄,我要控制一个机器人在仿真环境下运动,需要学什么,或者从哪里入手呢?" 这个问题不是那么好回答,urdf--tf--Gazebo--ros_control--MoveIt,这是我在十多天后才能给出的一个答案.那么之前我是怎么做仿真的呢? 1. 借助Arbotix舵机接口来仿真 之前是在学习<ros by example volume 2 >的时候,接触到一个rbx2_bringup 的package,仿照着里面的https://github

IVSR---Invalid sim recovery

IVSR: InValid Sim Recovery, 是当发生注册网络失败"Invalid sim" state,透过逻辑判断此Invalid Sim state是否为网络异常:若判断是网络异常引起,便由AP尝试recovery modem,以达到不重启开机的情况下,离开此Invalid Sim state-------目前遇到一些地方的移动网络错把自己正常的卡当成invalid sim,所以建议开启IVSR Spec规定发生Invalid Sim state时只有重开机或插拔SIM

Sim Card--002

SIM Power Supply VSIM is the power supply to the SIM card. During the activation/deactivation process, VSIM output is automatically controlled. The on/off of VSIM can also be controlled by directly programming the SIM_CTL0(Power_On bit[7]). Protocol

Seagate F3 command list

ASCII Diag mode F3 T>/c F3 C>Q Online CR: Rev 0011.0000, Flash,   AbortOnline ESC: Rev 0011.0000, Flash,   Abort Looping Command or Batch FileOnline  : Rev 0001.0000, Flash,   Pause OutputOnline .: Rev 0011.0000, Flash,   Display Active StatusOnline

SIM通信协议-传输协议

概述 1.SIM卡传输协议架构类似与TCP/IP的OSI模型.分为5层 物理层 数据链路层 传输层 USAT层 应用层 1.1物理层 通过IO口传输二进制数据. 1.2数据链路层 定义字符交换的时序和差错处理.数据链路层定义了T=0和T=1两种传输方式.他们都是半双工传输,T=0是基于字节传输.T=1是基于块传输. 1.21 T=0传输方式(面向字节) 传输从一个命令头开始,该命令头由CLA,INS,P1,P2,P3组成.终端向UICC(智能卡)发送字节头,UICC就会响应一个字节的结果给终端.

MISP版本嵌入式QT编译时出现mips-linux-gcc command not found

configure的时候都没什么问题我的configure是:./configure -prefix /opt/qt-jz -xplatform qws/linux-mips-g++ -embedded mips  configure顺利的过了,但是当make的时候,出现了mips-linux-gcc :Commond not fount! 我装的是mipsl-linux-gcc ,而且已经交叉编译过了个hello world了.. make时出现的错误是:make[1]: Entering d

quagga源码分析--通用库command

quagga是一个完整又成熟的系统,作为一个路由器软件,自然要提供人机接口. quagga提供snmp管理接口,而且,自然就会有对应的命令行管理格式,当然一般路由软件不会提供界面形式的,也许有webui,然而quagga并没有. 我们要看的就是这个命令行处理的代码 command. 接触过类似命令行的朋友肯定有一点点好奇吧,那么数量庞大的命令和参数输入,还可以提供提示和自动补齐,这肯定不是一件很简单的事情. 下面是一个配置示例: 1 ! 2 interface bge0 3 ip ospf au

加载语音license command

FUYI#copytftp flash0: Addressor name of remote host []? 1.1.1.1 Sourcefilename []? FGL162212WS_201606290212473480.lic Destinationfilename [FGL162212WS_201606290212473480.lic]? Accessingtftp://1.1.1.1/FGL162212WS_201606290212473480.lic... LoadingFGL16

Linux bash: scp: command not found的问题记录

1,scp报错 [[email protected] soft]# scpjdk-7u55-linux-x64.tar.gz 192.168.121.246:/soft/ [email protected]'s password: bash: scp: command not found lost connection [[email protected] soft]# 2,调试-v [[email protected] soft]# scp -vjdk-7u55-linux-x64.tar.g