Xilinx ISE如何调用Modelsim进行联合仿真

图:

在对设计的芯片进行测试时,经常要用到FPGA,可是里面的仿真工具却不如Modelsim那么好用,且在规模比较大时,ISE在仿真时,软件经常会报告内存限制的问题,此时一般会切换到Modelsim软件中去做仿真,这样便不会出现内存限制的问题,且仿真器也更加好用。这里介绍一下Xilinx ISE如何调用Modelsim进行联合仿真。

首先需要用Xilinx ISE里面的工具Simulation Library Compilation Wizard来产生仿真库文件。开始--所有程序--Xilinx ISEDesign Suits--ISE Desin Tools --Tool--Simulation Library Compilation Wizard,Windows版本的工具位置:

选择你需要的版本(本版本选择ModelsimSE),以及Modelsim的安装位置,选语言,支持的FPGA芯片,库的类型等:

选择器件类型,如果想省事,可以全部勾选:

点击生成库文件。

待库生成完毕后,这个时候回到ISE的安装目录下(xxx/Xilinx/14.3/ISE_DS/ISE/),就会看见一个modelsim.ini的文件(提示:在Modelsim的安装目录下也有一个相同名称的文件)

把这个在ISE的安装目录下的modelsim.ini打开,把阴影部分的内容拷贝至你安装好的Modelsim下的modelsim.ini文件中去(注意:要先改一下Modelsim下的这个文件的属性——去掉只读属性)

下面开始讲解Xilinx ISE如何调用Modelsim进行联合仿真

1、打开ISE Project Navigator

2、Edit--Preferences

在弹出的窗口中选择Integrated Tools,并设置Modelsim程序所在位置:

在XC6VLX240T上进行右击--Design Properties

这个时候的仿真器选择Modelsim-SEverilog

便可以在ISE中调用modelsim进行仿真了。

注:如果不能仿真,可以将ise生成的modelsim.ini文件拷到ise的工程项目里面,否则可能无法调用modelsim仿真。并且,里面的内容可以适当删减一些,只留下那些modelsim中的.ini文件中的那些东西,否则启动modelsim可能会花很长时间。

如果喜欢本公众号也请多多分享哟,谢谢您的关注

 

原文地址:https://www.cnblogs.com/ASIC-Horizon/p/8403470.html

时间: 2024-08-05 14:17:32

Xilinx ISE如何调用Modelsim进行联合仿真的相关文章

如何用ModelSim对Xilinx ISE产生的网表进行仿真

图: 在对设计的芯片进行测试时,经常要用到FPGA,可是里面的仿真工具却不如Modelsim那么好用,且在规模比较大时,ISE在仿真时,软件经常会报告内存限制的问题,此时一般会切换到Modelsim软件中去做仿真,这样便不会出现内存限制的问题,且仿真器也更加好用. 下面以综合后仿真为例,讲一下如何用ModelSim对Xilinx ISE综合后产生的网表进行仿真. 在用Xilinx ISE综合后,如果想用Modelsim对它综合后产生的网表进行综合后仿真,总共需要3个*.v文件.一个是testbe

通过文件读写方式实现Matlab和Modelsim的联合仿真

虽然Modelsim的功能非常强大,仿真的波形可以以多种形式进行显示,但是当涉及到数字信号处理的算法的仿真验证的时候,则显得有点不足.而进行数字信号处理是Matlab的强项,不但有大量的关于数字信号处理的函数,而且图形显示功能也很强大,所以在做数字信号处理算法的FPGA验证的时候借助Matlab会大大加快算法验证的速度. 关于Matlab和Modelsim联合仿真,我从网上看到两种方法,一种是通过Link for Modelsim建立Matlab和Modelsim的联合仿真接口:另一种就是通过文

【转】Quartus II和Modelsim的联合仿真(详细)

Quartus II和Modelsim的联合仿真(详细) 原文地址: http://www.cnblogs.com/Jezze/archive/2012/09/14/2684333.html 这篇文章不需要在modelsim中建库.映射.建工程等一些繁琐的步骤,直接使用modelsim中的默认work库.使用quartus+modelsim联合仿真. 首先推荐一篇文章 http://www.cnblogs.com/emouse/archive/2012/07/08/2581223.html 首先

(转)Quartus II和Modelsim的联合仿真(详细)

这篇文章不需要在modelsim中建库.映射.建工程等一些繁琐的步骤,直接使用modelsim中的默认work库.使用quartus+modelsim联合仿真. 首先推荐一篇文章 http://www.cnblogs.com/emouse/archive/2012/07/08/2581223.html 首先,根据上一篇文章,建立一个testbench模板: 第一次用modelsim+quartus的时候需要在quartus中设置modelsim的路径,quartus->tools->gener

vivado与modelsim的联合仿真

vivado软件中也自带仿真工具,但用了几天之后感觉仿真速度有点慢,至少比modelsim慢挺多的.而modelsim是我比较熟悉的一款仿真软件,固然选它作为设计功能的验证.为了将vivado和modelsim关联,需要进行一些设置,下面一一介绍. 一.在vivado中设置modelsim(即第三方仿真工具)的安装路径.在vivado菜单中选择"Tools"-->"Options...",选择"General"选项卡,将滚动条拉倒最底部,在

ISE和Modelsim联合仿真(转)

ISE和Modelsim联合仿真(转) 地址:http://www.cnblogs.com/feitian629/archive/2013/07/13/3188192.html 相信很多人会遇到过这个问题,不知如何让ISE调用Modelsim进行仿真.我也迷糊了不少时间,查查找找,终于弄明白了,所以有了本文,和大家分享一下.我尽量讲得详细点儿,多多上图. 我的环境:Windows 7 64位,Xilinx ISE Design Suite 13.4(D:\Xilinx\13.4),Modelsi

87.在ModelSim中添加Xilinx ISE仿真库

在ModelSim中添加Xilinx ISE仿真库 说明: l ModelSim一定要安装在不带空格的目录下,即不要安装在“Program Files”目录下.如作者是安装在D:\softwares\Modelsim目录下. l ISE软件也最好安装在不带空格的目录下. 1.找到开始菜单->程序->Xilinx ISE Design Suite 11 -> ISE -> Accessories -> Simulation Library Compilation Wizard.

关于xilinx ise10.1与modelsim仿真库编译

关于xilinx ise10.1与modelsim仿真库编译(2011-08-21 01:00:39)转载▼标签:杂谈 分类: FPGA 首先介绍一下Xilinx几个主要的仿真库(路径:D:\Xilinx\11.1\ISE\verilog\src\) 1. Unsim文件夹:Library of Unified component simulation models.仅用来做功能仿真,包括了Xilinx公司全部的标准元件.每个元件使用一个独立的文件,这样是为了方便一些特殊的编译向导指令,如`us

【转】Quartus II调用modelsim无缝仿真

Quartus II调用modelsim无缝仿真 ★emouse 思·睿博客文章★ 原创文章转载请注明:http://emouse.cnblogs.com 本篇文章为转载,写的不错,最近在学modelsim仿真,网上的教程很乱,把自己认为不错的整理贴出来,后面有机会会写个详细点的. Quartus 中调用modelsim的流程 1. 设定仿真工具 assignmentèsettingèEDA tool settingèsimulation 选择你需要的工具.  2. 自动产生测试激励文件模板: