常用集成电路名词缩写汇总(第二版)

重要说明

  • 整个集成电路的设计和生产链路很长,相关专有名称很多;
  • 本文对常见的集成电路相关的名词缩写进行了汇总,特别聚焦与集成电路设计领域,意在整理常用的数字电路/DC/PT/ICC/DFV/DFT/RTL/ATE相关方面的知识点,方便大家快速学习和掌握相关知识,方便大家查询;同时希望对学生将来的培训/面试等活动给予最大的帮助;
  • 文章按照字母排序的方式进行编排,方便大家查询;
  • 本次文章内容为第二次发布,我们将定期更新,逐步完善;
  • 欢迎大家提供相关信息至xgcl_wei微信号,帮助我们逐步完善内容,方便更多的人查询和使用,感谢您的参与,谢谢!
 
英文全称


中文说明


ABV


Assertion based  verification


基于断言的验证


AES


Advanced  Encryption Standard


高级加密标准,是美国政府采用的一种区块加密标准


ADC


Analog-to-Digital  Converter


指模/数转换器或者模数转换器


AHB


Advanced High  Performance Bus


高级高性能总线


ALF


Advanced Library  Format


先进(时序)库格式


ALU


Arithmetic and  logic unit


算数逻辑单元


AMBA


Advanced  Microcontroller Bus Architecture


高级微控制器总线体系


ANT


antenna


天线效应


AOP


Aspect Oriented  Programming


面向方面编程


APB


Advanced  Peripheral Bus


高级外部设备总线


API


Application  Programming Interface


应用程序编程接口


APR


Auto place and  route


自动布局布线


ARM


Advanced RISC  Machines


英国Acorn公司(ARM公司的前身)设计的低功耗成本的第一款RISC微处理器。ARM处理器本身是32位设计,但也配备16位指令集,一般来讲比等价32位代码节省达35%,却能保留32位系统的所有优势


ASB


Advanced System  Bus


是第一代AMBA系统总线,同AHB相比,它数据宽度要小一些,它支持的典型数据宽度为8位、16位、32位


ASCII


American  standard code for information interchange


美国信息交换标准代码是基于拉丁字母的一套电脑编码系统,主要用于显示现代英语和其他西欧语言


ASIC


Application  Special Integrated Circuit


专用集成电路


ATE


Automatic Test  Equipment


半导体产业意指集成电路自动测试机,  用于检测集成电路功能之完整性, 为集成电路生产制造之最后流程, 以确保集成电路生产制造之品质


ATM


Asynchronous  transfer mode


异步传输模式,是一种为了多种业务设计的面向连接的传输模式


ATPG


Automatic Test  Pattern Generation


自动测试向量生成是在半导体电器测试中使用的测试图形向量由程序自动生成的过程


AVM


Advanced  Verification Methodology


先进验证方法学


AXI


Advanced extensible  Interface


是一种总线协议,该协议是ARM公司提出的AMBA(Advanced  Microcontroller Bus Architecture)3.0协议中最重要的部分,是一种面向高性能、高带宽、低延迟的片内总线


BC


Best Case


最佳条件


BCD


Bipolar CMOS  DMOS


双击晶体管-互补型MOS-扩散型MOS


BFM


Bus functional  model


总线功能模型


BGA


Ball Grid Array


球栅阵列:以球型引脚焊接工艺为特征的一类集成电路封装。可以提高可加工性,减小尺寸和厚度,改善了噪声特性,提高了功耗管理特性


BIST


Built-in Self  Test


在设计时在电路中植入相关功能电路用于提供自我测试功能的技术,以此降低器件测试对自动测试设备(ATE)的依赖程度


BJT


Bipolar Junction  Transistor


双极结型晶体管


BSIM


Berkeley  Short-channel IGFET Mode


伯克利短沟道绝缘栅场效应晶体管模型


CAD


Computer Aided  Design


计算机辅助设计指利用计算机及其图形设备帮助设计人员进行设计工作


CAN


Controller Area  Network


是ISO国际标准化的串行通信协议。在当前的汽车产业中,出于对安全性、舒适性、方便性、低公害、低成本的要求,各种各样的电子控制系统被开发了出来


CCSM


Composite  Current Source Model


复合电流源模型


CDM


Charged-Device  Model


元件充电模型


CDV


Coverage Driven  Verification


覆盖率驱动的验证


CMOS


Complementary  Metallic Oxide Semiconductor


互补金属氧化物半导体,电压控制的一种放大器件,是组成CMOS数字集成电路的基本单元


CPF


common power  format


通用功耗格式


CPLD


Complex  Programmable Logic Device


复杂可编程器件


CPPR


Common Path  Pessimism Removal


共同路径悲观去除


CPU


Central  Processing Unit


中央处理器


CRPR


Clock  Reconvergence Pessimism Removal


时钟再收敛悲观消除法


CTL


Computation tree  logic


计算数逻辑,形式验证中时序逻辑的一种形式


CTS


Clock Tree  Synthesis


时钟树综合


DAC


Digital-to-Analog  Converter


数模转换的电路


DC


Design compiler


Synopsys 公司出品的综合工具,用来解决从RTL到门级网表的问题


DCM


Digital Clock  Manager


数字时钟管理单元,其中包含一个 DLL,可以提供对时钟信号的二倍频和分频功能,并且能够维持各输出时钟之间的相位关系,即零时钟偏差


DCT


Discrete cosine  transform


离散余弦变换


DDR


Double Data Rate


双倍速率同步动态随机存储器。严格的说DDR应该叫DDR SDRAM,人们习惯称为DDR,其中,SDRAM 是Synchronous  Dynamic Random Access Memory的缩写,即同步动态随机存取存储器


DEF


Design-Exchange  format


设计交换格式


DES


Data Encryption Standard


数据加密标准,是一种使用密钥加密的块算法,1977年被美国政府确定为联邦资料处理标准


DFM


Design for  manufacture


面向制造的设计,即从提高零件的可制造性入手,使得零件和各种工艺容易制造,制造成本低,效率高,并且成本比例低


DFT


Design For Test


可测性设计方


DFV


Design for  Verification


设计验证,主要针对特定设计进行验证


DFY


Design For Yield


考虑良率性设计


DIP


Double In-line  Package


双列直插式封装。插装型封装之一,引脚从封装两侧引出,封装材料有塑  料和陶瓷两种。DIP是最普及的插装型封装,应用范围包括标准逻辑IC,存贮器LSI,微机电路等。


DMA


Direct Memory  Access


直接内存存取,允许不同速度的硬件装置来沟通


DPI


Direct  Programming Interface


直接可编程接口


DRC


Design Rule  Check


设计规则检查


DSP


Digital Signal  Processing


数字信号处理


DUV


Design-under  verification


待验证设计


DVE


Discovery  Visualization Environment


可视化仿真环境


DVFS


Dynamic Voltage  Frequency Scaling


动态电压频率调节


DVR


Design Rule  Violation


设计规则违反


DVT


Design  verification test


设计验证测试,是硬件生产中不可缺少的一个检测环节,包括模具测试,电子性能,外观测试等


ECC


Error Correcting  Code


错误检查和纠正


ECO


Engineering  Change Order


工程更改计划


ECSM


Effective  Current Source Model


有效电流源模型


EDA


Electronic  Design Automation


电子设计自动化


EDT


Embedded  Deterministic Test


嵌入式确定性测试,通过测试压缩和解压结构,减少测试数量,缩短测试时间,降低测试成本


EEPROM


Electrically  Erasable Programmable read only memory


电可擦可编程只读存储器--一种掉电后数据不丢失的存储芯片,最大优点是可直接用电信号擦除,也可用电信号写入。EEPROM不能取代RAM的原应是其工艺复杂, 耗费的门电路

过多,且重编程时间比较长,同时其有效重编程次数也比较低


EPROM


Erasable  Programmable Read-Only Memory


“可擦写可编程只读存储器”的特点是具有可

擦除功能,擦除后即可进行再编程,但是缺点是擦除需要使用紫外线照射一定的时间。这一类芯片特别容易识别,其

封装中包含有“石英玻璃窗”,一个编程后的EPROM芯片的“石英玻璃窗”一般使用黑色不干胶纸盖住, 以防止遭到

阳光直射。


ERC


Electrical Rules  Check


电气规则检查


eRM


e Reuse  Methodology


e语言复用方法


ESD


Electro-Static  discharge


“静电释放”。ESD是20世纪中期以来形成的以研究静电的产生、危害及静电防护等的学科。因此,国际上习惯将用于静电防护的器材统称为ESD,中文名称为静电阻抗器


ESD


Electro-Static  discharge


静电释放


ETM


Extracted timing  model


抽取寄生参数之后的时序模型


FIM


Field-Induced  Model


电场感应模型


FO


Fan-Out


扇出


FOX


Field Oxide


场氧(层)


FPGA


Field  Programmable Gate Array


现场可编程门阵列


FPU


Float Point Unit


浮点运算单元


FSDB


Fast Signal Database


快速信号数据库


FSM


Finite State  Machine


状态机


GDS


Graphic Design  System


图形设计体统(格式)


GPU


Graphics  Processing Unit


图形处理器


HBM


Human-Body Model


人体放电模型


I2C


Inter-Integrated  Circuit


由Philips公司开发的一种简单、双向二线制同步串行总线。它只需要两根线即可在连接于总线上的器件之间传送信息


IC


Integrated  Circuit


集成电路


ICDS


IC Design  Service


芯片设计服务


IDDQ


Integrated  Circuit Quiescent Current


集成电路静止电流


IEEE


Institute of  Electrical and Electronics Engineers


电气和电子工程师协会


IP


Intellectual  Property


知识产权,在芯片设计中指对某种设计技术的专利


ISA


Instruction Set  Architecture


指令集架构


JDV


Job deck view


在线光掩膜数据检视


JTAG


Joint Test  Action Group


联合测试行动组:一系列在主板加工过程中的对主板和芯片级进行功能验证的标准


LDM


Logic Data Model


逻辑数据模型


LDMOS


Lateral  Double-diffused MOSFET


横向双扩散MOSFET


LDO


low dropout regulator


一种线性稳压器,使用在其线性区域内运行的晶体管或场效应管(FET),从应用的输入电压中减去超额的电压,产生经过调节的输出电压


LEC


Logic  Equivalency Check


逻辑等效性检查


LED


Light Emitting  Diode


发光二极管简称


LEF


Library-Exchange  Format


库交换格式


LET


Linear Energy  Transfer


线性能量传递,是指在单位长度的能量转递


LPDC


Low density  parity check code


低密度的奇偶校验码


LPS


Logic Physical  Synthesis


逻辑物理综合


LRM


Language  Reference Manual


语言参考手册


LSFR


Linear Feedback  Shift Register


线性反馈移位寄存器


LUT


Look-Up Table


查找表:一种在 PFU 中的器件结构元素,用于组合逻辑和存储。基本上是静态存储器(SRAM)单元


LVDS


Low-Voltage  Differential Signaling


1994年由美国国家半导体公司提出的一种信号传输模式,是一种电平标准,LVDS接口又称RS-644总线接口,是20世纪90年代才出现的一种数据传输和接口技术


LVS


layout versus  schematic


版图与原理图一致性检查


MAR


minimum area  rule


最小面积规则


MBIST


Memory Built-in  Self Test


Memory 内建自测试


MCM


Multi Chip  Module


多芯片模块,是将一块封装中包含两个或两个以上芯片,芯片之间通过高密度基板互联,形成具有一定部件或系统功能的高密度微电子组件


MCU


Microcontroller  Unit


单片微型计算机


MEMS


Micro-Electro-Mechanical  System


微电子机械系统、微系统、微机械等,指尺寸在几毫米乃至更小的高科技装置


MISR


Multiple-Input  Signature Register


多输入特征寄存器


MM


Machine Model


机器放电模型


MPW


Multi Project  Wafer


多项目晶圆,将多个使用相同工艺的集成电路设计放在同一晶圆片上流片,制造完成后,每个设计可以得到数十片芯片样品


NBA


Non-Blocking  Assignment


非阻塞赋值


NLDM


Nonlinear Delay  Model


非线性延时模型


NoC


Network On Chip


片上网络


NVM


Non-Volatile  Memory


非易失性存储器


OCV


On-Chip  variation


片上误差


OOP


Object Oriented Programming


面向对象编程


OTC


Over The Cell


单元上(RC提取)


OTP


One Time Programmable


是MCU的一种存储器类型,意思是一次性可编程:程序烧入IC后,将不可再次更改和清除


OVI


Open Verilog  International


国际Verilog开放合作小组


OVM


Open  Verification Methodology


开放验证方法学


PAE


Process Antenna  Effect


工艺天线效应


PCB


Printed Circuit  Board


印制电路板,又称印刷线路板,是重要的电子部件,是电子元器件的支撑体,是电子元器件电气连接的载体


PCI


Peripheral  Component Interconnect


外设部件互连标准


PEI


Power Forward  Initiative


低功耗(设计)合作组织


Perl


Practical  Extraction and Report Language


实用报表提取语言


PGA


Pin-Grid Array


引脚网格阵列


PGV


Power Grid View


电源、网格试图


PLCC


Plastic Leaded  Chip Carrier


PLCC封装方式,外形呈正方形,32脚封装,四周都有管脚,外形尺寸比DIP 封装小得多。PLCC封装适合用SMT表面安装技术在PCB上安装布线,具有 外形尺寸小、可靠性高的优点。


PLE


Physical Layout  Estimator


物理布图参数


PLI


Programming  Language Interface


可编程语言接口


PLL


Phase Locked  Loop


锁相回路或锁相环,用来统一整合时脉讯号


POP


Process Oriented  Programming


面向过程编程


PPA


Performance,Power,Area


性能,功耗,面积


PSL


Property  specification language


一种专门用于硬件特性描述的语言,由IBM开发的Sugar语言发展而来


PVT


Process,Voltage,Temperature


工艺,电压,温度


PWM


Pulse Width  Modulation


脉冲宽度调制是利用微处理器的数字输出来对模拟电路进行控制的一种非常有效的技术,广泛应用在从测量、通信到功率控制与变换的许多领域中


QoR


Questions of  Reality

 

QDR


Quad Data Rate


四倍数据倍率,在DDR的基础上,拥有独立的写接口和读接口,以此达到4倍速率


QFP


Quad Flat  Package


四方扁平封装


QTM


Quick timing  model


快速时序模型,一般用于网表完备之前


QTP


Quad Tape  Carrier Package


四向型TCP


RAM


random access  memory


随机存取存储器


RF


Radio Frequency


射频表示可以辐射到空间的电磁频率,频率范围从300KHz~300GHz之间


RFID


Radio Frequency  Identification


常称为感应式电子晶片或近接卡、感应卡、非接触卡、电子标签、电子条码等。其原理为由扫描器发射一特定频率之无线电波能量给接收器,用以驱动接收器电路将内部的代码送出,此时扫描器便接收此代码


RISC


Reduced  Instruction Set Computer


精简指令集计算机。特点是所有指令的格式都是一致的,所有指令的指令周期也是相同的,并且采用流水线技术


ROM


Read Only Memory


只读存储器


RSA


Ron Rivest, Adi  Shamir, Leonard Adleman algorithm


公开秘钥加密


RTL


Register  Transfer Level


寄存器传输级


SAF


Stuck-at fault


短接故障模型


SDC


Standard Design  Constraints


标准设计约束


SDF


Standard Delay  Format


标准延时格式文件


SEB


Single Event  Burnout


单粒子烧毁


SEE


Single Event  Effect


单粒子效应


SEFI


Single Event  Functional Interrupt


单粒子功能中断


SEGR


Single Event  Gate Rupture


单粒子门断裂


SEL


Single Event Latch  up


单粒子锁定


SET


Single Event  Transient


单粒子瞬变效应


SEU


Single Event  Upset


单粒子翻转


SIA


Semiconductor  Industry Association


美国半导体工业协会


SIP


System In a  Package


是将多种功能芯片,包括处理器、存储器等功能芯片集成在一个封装内,从而实现一个基本完整的功能。与SOC(System On a Chip系统级芯片)相对应。不同的是系统级封装是采用不同芯片进行并排或叠加的封装方式,而SOC则是高度集成的芯片产品


SMT


Surface Mount  Technology


表面贴装技术


SoC


System on Chip


单芯片系统,片上系统


SOI


Silicon-On-Insulator


绝缘衬底上的硅,该技术是在顶层硅和背衬底之间引入了一层埋氧化层


SoPC


System-on-a-Programmable-Chip


可编程片上系统,用可编程逻辑技术把整个系统放到一块硅片上


SPARC


Scalable  Processor Architecture


可扩充处理器架构,是RISC微处理器架构之一。它最早于1985年由Sun电脑所设计,也是SPARC国际公司的注册商标之一


SPEF


Standard  Parasitic Exchange Format


标准寄生参数交换格式


SPF


Standard  Parasitic Format


标准寄生参数格式


SPI


Serial  Peripheral Interface


串行外设接口是一种高速的,全双工,同步的通信总线,并且在芯片的管脚上只占用四根线,节约了芯片的管脚,同时为PCB的布局上节省空间,提供方便


SPICE


Simulation  Program with Integrated Circuit Emphasis


集成电路仿真程序


STA


Static Timing  Analysis


静态时序分析


STM


Static timing  model


静态时序模型


SVA


SystemVerilog  Verification Assertion


基于SystemVerilog的断言验证技术


SVM


System  Verification Methodology


Verisity公司的方法称为系统验证方法


TAP


Test Access Port


测试访问接口,TDI,TCK,TRST


TCL


Tool Command  Language


工具命令语言


TCP


Tape Carrier  Package


柔性线路板,IC可固定于其上


TG


Transmission  Gate


传输门


TID


Total Ionizing  Dose


总剂量辐射效应


TLF


Timing Library  Format


时序库格式


TLI


Transaction  Level Interface


事物级接口


TLM


Transaction  Level Modeling


事物级建模方法


TMR


Triple Modular  Redundancy


三模冗余系统


TNS


Total Negative  Slack


负剩余时间总和


TSV


Through Silicon  Via


贯穿硅通孔


TTL


Transistor-Transistor  Logic


由BJT(Bipolar Junction Transistor 即双极结型晶体管),晶体三极管和电阻构成,具有速度快的特点


TVS


Transient  Voltage Suppressor


瞬态二极管简称


TWF


Timing Window  Format


时序窗格式


UART


Universal  Asynchronous Receiver/Transmitter


通用异步收发传输器是一种异步收发传输器,是电脑硬件的一部分。它将要传输的资料在串行通信与并行通信之间加以转换


UCDB


Unified coverage  database


统一覆盖率格式(mentor公司使用)


UCLI


Unified  Command-Line Interface


统一命令行接口


UDP


User-defined  primitive


用户自定义原语


UNIX


Uniplexed  Information and Computing System(UNICS)


操作系统名


UPF


Unified Power  Format


统一功率格式


USB


Universal Serial  Bus


通用串行总线的缩写,是一个外部总线标准,用于规范电脑与外部设备的连接和通讯


UVC


UVM component


UVM组件


UVM


Universal  Verification Methodology


通用验证方法学


V2L


Via to Line


通孔至连线


V2V


Via to Via


通孔至通孔


VCD


Value Change  Dump


硬件描述语言仿真结果的一种标准输出格式


VCS


Verilog Compiled  Simulator


Verilog编译仿真器


VDMOS


Vertical  Double-diffused MOSFET


垂直双扩散MOSFET


VHDL


Very high speed  integrated circuit Hardware Description Language


超高速集成电路硬件描述语言


VI


Visual Editor


可视编辑器


VIP


Verification IP


验证IP


VLSI


Very Large Scale  Integrated Circuit


超大规模集成电路


VMM


Verification  Methodology Manual


VMM是大规模集成电路(IC)设计验证领域的一种高级验证方法学


VPI


Verilog  Procedural Interface


Verilog过程接口


WC


worst-case


最差条件


WGL


Waveform  Generation Language


产生波形的语言


WLF


Wave Log File


波形文件


WLM


Wire Load Model


连线负载模型


WNS


Worst negative  slack


最坏负剩余时间


XMR


Cross Module  Reference


跨模块引用

原文地址:https://www.cnblogs.com/xgcl-wei/p/8975617.html

时间: 2024-07-31 16:40:48

常用集成电路名词缩写汇总(第二版)的相关文章

常用会计科目名词解释

常用会计科目名词解释 1.库存现金是指单位为了满足经营过程中零星支付需要而保留的现金,对库存现金进行监督盘点,可以确定库存现金的真实存在性和库存现金管理的有效性,对于评价企业的内控制度将起到积极作用. 2.银行存款是指企业存放在银行和其他金融机构的货币资金.按照国家现金管理和结算制度的规定,每个企业都要在银行开立账户,称为结算户存款,用来办理存款.取款和转账结算. 3.交易性金融资产是指:企业为了近期内出售而持有的金融资产.通常情况以赚取差价为目的从二级市场购入的股票.债券和基金等,应分类为交易

Vue常用经典开源项目汇总参考-海量

Vue常用经典开源项目汇总参考-海量 Vue是什么? Vue.js(读音 /vju/, 类似于 view) 是一套构建用户界面的 渐进式框架.与其他重量级框架不同的是,Vue 采用自底向上增量开发的设计.Vue 的核心库只关注视图层,并且非常容易学习,非常容易与其它库或已有项目整合.另一方面,Vue 完全有能力驱动采用单文件组件和 Vue 生态系统支持的库开发的复杂单页应用. Vue.js 的目标是通过尽可能简单的 API 实现响应的数据绑定和组合的视图组件. 易用(已经会了HTML,CSS,J

DirectX 9.0c游戏开发手记之“龙书”第二版学习笔记之8: Chap10: Lighting

这一章讲的是光照.光照(lighting)是Direct3D中非常重要的概念,而与之相对应的是材质(material)的概念.如果没有材质的话,那么光照的作用也无法体现. 在较早一些的关于DirectX 9的编程入门书籍里,一般是使用D3DLIGHT9结构体来建立一个光源,而用D3DMATERIAL9结构体来定义物体的材质.我们要做的就是一些很琐碎的家务活,基本上就是创建这些结构体对象.设定其中的参数.启用光照之类的,至于具体实现的细节就非吾等所需(和所能)操心的了. 不过在我们的"龙书&quo

python基础学习07(核心编程第二版)部分

# -*- coding: utf-8 -*- # ==================== #File: python #Author: python #Date: 2014 #==================== __author__ = 'Administrator' #file与input output #文件对象 #简单说来,就是写入和读取的方式 #file(),open()2个操作都是一样的,一般推荐open() #语法 # open(name[, mode[, bufferin

《Python基础教程(第二版)》学习笔记 -> 第十章 充电时刻 之 标准库

SYS sys这个模块让你能够访问与Python解释器联系紧密的变量和函数,下面是一些sys模块中重要的函数和变量: 函数和变量 描述 argv 命令行参数,包括脚本和名称 exit([arg])                退出当前的程序,可选参数为给定的返回值或者错误信息 modules 映射模块名字到载入模块的字典 path 查找模块所在目录的目录名列表 platform 平台标识符 stdin 标准输入流-- 一个类文件对象 stdout 标准输出流-- 一个类文件对象 stderr

python基础学习08(核心编程第二版)部分

# -*- coding: utf-8 -*- # ==================== #File: python #Author: python #Date: 2014 #==================== __author__ = 'Administrator' #异常 """ NameError: 尝试访问一个未申明的变量 ZeroDivisionError:  除数为零 SyntaxError: 解释器语法错误 IndexError: 请求的索引超出序列范

《循序渐进Linux》第二版即将出版发行(附封面)

从<循序渐进Linux>第一版发布,到现在已经近6年了,6年的时间,技术发生了很大的变化,Linux系统的内核版本从2.6.9(RHEL4.x)已经更新到了现在的3.10(Centos7.x),第一版中的部分内容已经陈旧,Linux系统部分运行机制也发生了很大变化,为了适应技术发展潮流,也为了所有支持这本书的读者,我决定重新修订本书,推出<循序渐进Linux>第二版.第二版基本继承了第一版中的内容结构,基本以Redhat Linux.Centos两个Linux发行版为主进行介绍,采

python基础学习12(核心编程第二版)部分

# -*- coding: utf-8 -*- # ==================== #File: python #Author: python #Date: 2014 #==================== __author__ = 'Administrator' #python class #面向对象编程oop思想,3个特性:封装.继承.多态,在其他方面的功能,比如重载,模拟等,也可以自定义自己需要的类 #在python中,面向对象主要2个:类和类实例 #类与实例 #类与实例有关

常用的正则表达式代码汇总

[转]http://blog.csdn.net/tammy520/article/details/8680664 常用的正则表达式代码汇总 常用的正则表达式代码汇总: 1.^\d+$ //匹配非负整数(正整数 + 0) 2.^[0-9]*[1-9][0-9]*$ //匹配正整数 3.^((-\d+)|(0+))$ //匹配非正整数(负整数 + 0) 4.^-[0-9]*[1-9][0-9]*$ //匹配负整数 5.^-?\d+$ //匹配整数 6.^\d+(\.\d+)?$ //匹配非负浮点数(