蛋疼的 qii 神马警告才是需要注意的警告?(由于警告引起的截然不同的运行结果)

移植了一份程序,之前工程是Cyclone II 后来换成 Cyclone IV E EP4CE10E22C8

用到的资源:pll,sdram,vga

移植办法:

1、更改工程配置,重新选择ic

2、重新分配管脚

3、编译下载至目标板

4、运行ok

以下是这个项目的一些重要信息

再看看警告

如此蛋疼的警告,虽然28个但是确实不影响使用

再看看运行结果,确实不错,没有问题,唯一的问题,就是需要多次复位才能正常

再看看这一堆蛋疼的垃圾文件,都是无用,看着让人心烦。果断删除

删除后结果

重新编译:发现有变化

1、资源消耗发生变化。

2、警告数量发生变化

下载运行,已经全然无反应了,无法工作,继续研究!!

垃圾无用的包含文件,果断删除

重新编译!!

警告数减少,依然无法正常工作!!

看看是神马警告

Warning (10236): Verilog HDL Implicit Net warning at action_vip.v(70): created implicit net for "clk_sdr"
Warning (10034): Output port "leds" at action_vip.v(31) has no driver
Warning (12125): Using design file pll_xscale.v, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project
Info (12023): Found entity 1: pll_xscale
Info (12023): Found entity 1: pll_xscale
Warning (10034): Output port "sdr_clk[0]" at video_process.v(26) has no driver
Warning (12125): Using design file bt656_rx.v, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project
Info (12023): Found entity 1: bt656_rx
Info (12023): Found entity 1: bt656_rx
Warning (12125): Using design file csc.v, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project
Info (12023): Found entity 1: csc
Info (12023): Found entity 1: csc
Warning (12125): Using design file mult6x6.v, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project
Info (12023): Found entity 1: mult6x6
Info (12023): Found entity 1: mult6x6
Warning (12125): Using design file fifo_wrbuffer_512x16.v, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project
Info (12023): Found entity 1: fifo_wrbuffer_512x16
Info (12023): Found entity 1: fifo_wrbuffer_512x16
Warning (12125): Using design file wr_sdram.v, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project
Info (12023): Found entity 1: wr_sdram
Info (12023): Found entity 1: wr_sdram
Warning (12125): Using design file sdram_if.v, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project
Info (12023): Found entity 1: sdram_if
Info (12023): Found entity 1: sdram_if
Warning (12125): Using design file rd_sdram.v, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project
Info (12023): Found entity 1: rd_sdram
Info (12023): Found entity 1: rd_sdram
Warning (12125): Using design file fifo_display_1024x16.v, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project
Info (12023): Found entity 1: fifo_display_1024x16
Info (12023): Found entity 1: fifo_display_1024x16
Warning (12125): Using design file vga.v, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project
Info (12023): Found entity 1: vga
Info (12023): Found entity 1: vga
Warning (12125): Using design file i2c_av_config.v, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project
Info (12023): Found entity 1: I2C_AV_Config
Info (12023): Found entity 1: I2C_AV_Config
Warning (12125): Using design file i2c_controller.v, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project
Info (12023): Found entity 1: I2C_Controller
Info (12023): Found entity 1: I2C_Controller
Warning (10230): Verilog HDL assignment warning at i2c_controller.v(79): truncated value with size 32 to match size of target (1)
Warning (10230): Verilog HDL assignment warning at i2c_controller.v(91): truncated value with size 32 to match size of target (8)
Warning (12241): 8 hierarchies have connectivity warnings - see the Connectivity Checks report folder
Warning (13024): Output pins are stuck at VCC or GND
Warning (13410): Pin "sdram_addr[12]" is stuck at GND
Warning (13410): Pin "sdr_cke[0]" is stuck at VCC
Warning (13410): Pin "sdr_cs[0]" is stuck at GND
Warning (13410): Pin "sdr_dqm[0]" is stuck at GND
Warning (13410): Pin "sdr_dqm[1]" is stuck at GND
Warning (13410): Pin "leds[0]" is stuck at GND
Warning (13410): Pin "leds[1]" is stuck at GND
Warning (13410): Pin "leds[2]" is stuck at GND
Warning (13410): Pin "leds[3]" is stuck at GND
Warning (13410): Pin "leds[4]" is stuck at GND
Warning (13410): Pin "leds[5]" is stuck at GND
Warning (13410): Pin "sdram_addr[12]" is stuck at GND
Warning (13410): Pin "sdr_cke[0]" is stuck at VCC
Warning (13410): Pin "sdr_cs[0]" is stuck at GND
Warning (13410): Pin "sdr_dqm[0]" is stuck at GND
Warning (13410): Pin "sdr_dqm[1]" is stuck at GND
Warning (13410): Pin "leds[0]" is stuck at GND
Warning (13410): Pin "leds[1]" is stuck at GND
Warning (13410): Pin "leds[2]" is stuck at GND
Warning (13410): Pin "leds[3]" is stuck at GND
Warning (13410): Pin "leds[4]" is stuck at GND
Warning (13410): Pin "leds[5]" is stuck at GND
Warning (15536): Implemented PLL "pll_xscale:pll_xscale_inst|altpll:altpll_component|pll" as Cyclone IV E PLL type, but with warnings
Warning (15567): Can‘t achieve requested Medium bandwidth type; current PLL requires a bandwidth value of between 0.500 Mhz and 2.000 Mhz -- achieved bandwidth of 0.45 MHz to 0.59 MHz
Warning (15559): Can‘t achieve requested value 142.2 degrees for clock output pll_xscale:pll_xscale_inst|altpll:altpll_component|_clk2 of parameter phase shift -- achieved value of 144.0 degrees
Info (15099): Implementing clock multiplication of 3, clock division of 2, and phase shift of 45 degrees (3086 ps) for pll_xscale:pll_xscale_inst|altpll:altpll_component|_clk0 port
Info (15099): Implementing clock multiplication of 9, clock division of 2, and phase shift of 0 degrees (0 ps) for pll_xscale:pll_xscale_inst|altpll:altpll_component|_clk1 port
Info (15099): Implementing clock multiplication of 9, clock division of 2, and phase shift of 144 degrees (3292 ps) for pll_xscale:pll_xscale_inst|altpll:altpll_component|_clk2 port
Warning (15567): Can‘t achieve requested Medium bandwidth type; current PLL requires a bandwidth value of between 0.500 Mhz and 2.000 Mhz -- achieved bandwidth of 0.45 MHz to 0.59 MHz
Warning (15559): Can‘t achieve requested value 142.2 degrees for clock output pll_xscale:pll_xscale_inst|altpll:altpll_component|_clk2 of parameter phase shift -- achieved value of 144.0 degrees
Info (15099): Implementing clock multiplication of 3, clock division of 2, and phase shift of 45 degrees (3086 ps) for pll_xscale:pll_xscale_inst|altpll:altpll_component|_clk0 port
Info (15099): Implementing clock multiplication of 9, clock division of 2, and phase shift of 0 degrees (0 ps) for pll_xscale:pll_xscale_inst|altpll:altpll_component|_clk1 port
Info (15099): Implementing clock multiplication of 9, clock division of 2, and phase shift of 144 degrees (3292 ps) for pll_xscale:pll_xscale_inst|altpll:altpll_component|_clk2 port
Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details
Warning (332174): Ignored filter at action_vip.sdc(49): pll_sys_inst|altpll_component|pll|inclk[0] could not be matched with a pin
Warning (332174): Ignored filter at action_vip.sdc(49): pll_sys_inst|altpll_component|pll|clk[0] could not be matched with a pin
Warning (332049): Ignored create_generated_clock at action_vip.sdc(49): Argument <targets> is an empty collection
Info (332050): create_generated_clock -name {pll_sys:pll_sys_inst|altpll:altpll_component|_clk0} -source [get_pins {pll_sys_inst|altpll_component|pll|inclk[0]}] -duty_cycle 50.000 -multiply_by 25 -divide_by 3 -master_clock {clk} [get_pins {pll_sys_inst|altpll_component|pll|clk[0]}]
Info (332050): create_generated_clock -name {pll_sys:pll_sys_inst|altpll:altpll_component|_clk0} -source [get_pins {pll_sys_inst|altpll_component|pll|inclk[0]}] -duty_cycle 50.000 -multiply_by 25 -divide_by 3 -master_clock {clk} [get_pins {pll_sys_inst|altpll_component|pll|clk[0]}]
Warning (332049): Ignored create_generated_clock at action_vip.sdc(49): Argument -source is an empty collection
Warning (332174): Ignored filter at action_vip.sdc(50): pll_sys_inst|altpll_component|pll|clk[1] could not be matched with a pin
Warning (332049): Ignored create_generated_clock at action_vip.sdc(50): Argument <targets> is an empty collection
Info (332050): create_generated_clock -name {pll_sys:pll_sys_inst|altpll:altpll_component|_clk1} -source [get_pins {pll_sys_inst|altpll_component|pll|inclk[0]}] -duty_cycle 50.000 -multiply_by 25 -divide_by 3 -phase 60.000 -master_clock {clk} [get_pins {pll_sys_inst|altpll_component|pll|clk[1]}]
Info (332050): create_generated_clock -name {pll_sys:pll_sys_inst|altpll:altpll_component|_clk1} -source [get_pins {pll_sys_inst|altpll_component|pll|inclk[0]}] -duty_cycle 50.000 -multiply_by 25 -divide_by 3 -phase 60.000 -master_clock {clk} [get_pins {pll_sys_inst|altpll_component|pll|clk[1]}]
Warning (332049): Ignored create_generated_clock at action_vip.sdc(50): Argument -source is an empty collection
Warning (332174): Ignored filter at action_vip.sdc(83): pll_sys:pll_sys_inst|altpll:altpll_component|_clk0 could not be matched with a clock
Warning (332174): Ignored filter at action_vip.sdc(83): pll_sys:pll_sys_inst|altpll:altpll_component|_clk1 could not be matched with a clock
Warning (332174): Ignored filter at action_vip.sdc(90): *ws_dgrp|dffpipe_re9:dffpipe20|dffe21a* could not be matched with a keeper
Warning (332049): Ignored set_false_path at action_vip.sdc(90): Argument <to> is an empty collection
Info (332050): set_false_path -from [get_keepers {*rdptr_g*}] -to [get_keepers {*ws_dgrp|dffpipe_re9:dffpipe20|dffe21a*}]
Info (332050): set_false_path -from [get_keepers {*rdptr_g*}] -to [get_keepers {*ws_dgrp|dffpipe_re9:dffpipe20|dffe21a*}]
Warning (332174): Ignored filter at action_vip.sdc(91): *rs_dgwp|dffpipe_qe9:dffpipe16|dffe17a* could not be matched with a keeper
Warning (332049): Ignored set_false_path at action_vip.sdc(91): Argument <to> is an empty collection
Info (332050): set_false_path -from [get_keepers {*delayed_wrptr_g*}] -to [get_keepers {*rs_dgwp|dffpipe_qe9:dffpipe16|dffe17a*}]
Info (332050): set_false_path -from [get_keepers {*delayed_wrptr_g*}] -to [get_keepers {*rs_dgwp|dffpipe_qe9:dffpipe16|dffe17a*}]
Warning (332060): Node: video_process:TV_Box|bt656_rx:bt656_rx_inst|lcc2 was determined to be a clock but was found without an associated clock assignment.
Warning (332060): Node: I2C_AV_Config:I2C_AV_Config_inst|mI2C_CTRL_CLK was determined to be a clock but was found without an associated clock assignment.
Warning (332056): PLL cross checking found inconsistent PLL clock settings:
Warning (332056): Clock: pll_xscale:pll_xscale_inst|altpll:altpll_component|_clk0 was found on node: pll_xscale_inst|altpll_component|pll|clk[0] with settings that do not match the following PLL specifications:
Warning (332056): -multiply_by (expected: 3, found: 5), -divide_by (expected: 2, found: 9)
Warning (332056): -phase (expected: 45.00, found: 0.00)
Warning (332056): Clock: pll_xscale:pll_xscale_inst|altpll:altpll_component|_clk1 was found on node: pll_xscale_inst|altpll_component|pll|clk[1] with settings that do not match the following PLL specifications:
Warning (332056): -multiply_by (expected: 9, found: 3), -divide_by (expected: 2, found: 2)
Warning (332056): Node: pll_xscale_inst|altpll_component|pll|clk[2] was found missing 1 generated clock that corresponds to a base clock with a period of: 37.037
Warning (332056): Clock: pll_xscale:pll_xscale_inst|altpll:altpll_component|_clk0 was found on node: pll_xscale_inst|altpll_component|pll|clk[0] with settings that do not match the following PLL specifications:
Warning (332056): -multiply_by (expected: 3, found: 5), -divide_by (expected: 2, found: 9)
Warning (332056): -phase (expected: 45.00, found: 0.00)
Warning (332056): -multiply_by (expected: 3, found: 5), -divide_by (expected: 2, found: 9)
Warning (332056): -phase (expected: 45.00, found: 0.00)
Warning (332056): Clock: pll_xscale:pll_xscale_inst|altpll:altpll_component|_clk1 was found on node: pll_xscale_inst|altpll_component|pll|clk[1] with settings that do not match the following PLL specifications:
Warning (332056): -multiply_by (expected: 9, found: 3), -divide_by (expected: 2, found: 2)
Warning (332056): -multiply_by (expected: 9, found: 3), -divide_by (expected: 2, found: 2)
Warning (332056): Node: pll_xscale_inst|altpll_component|pll|clk[2] was found missing 1 generated clock that corresponds to a base clock with a period of: 37.037
Warning (15064): PLL "pll_xscale:pll_xscale_inst|altpll:altpll_component|pll" output port clk[0] feeds output pin "vga_clk~output" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance
Warning (15064): PLL "pll_xscale:pll_xscale_inst|altpll:altpll_component|pll" output port clk[2] feeds output pin "sdr_clk[0]~output" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance
Warning (169177): 28 pins must meet Altera requirements for 3.3-, 3.0-, and 2.5-V interfaces. For more information, refer to AN 447: Interfacing Cyclone IV E Devices with 3.3/3.0/2.5-V LVTTL/LVCMOS I/O Systems.
Info (169178): Pin sdram_data[0] uses I/O standard 3.3-V LVTTL at 58
Info (169178): Pin sdram_data[1] uses I/O standard 3.3-V LVTTL at 55
Info (169178): Pin sdram_data[2] uses I/O standard 3.3-V LVTTL at 54
Info (169178): Pin sdram_data[3] uses I/O standard 3.3-V LVTTL at 53
Info (169178): Pin sdram_data[4] uses I/O standard 3.3-V LVTTL at 52
Info (169178): Pin sdram_data[5] uses I/O standard 3.3-V LVTTL at 51
Info (169178): Pin sdram_data[6] uses I/O standard 3.3-V LVTTL at 50
Info (169178): Pin sdram_data[7] uses I/O standard 3.3-V LVTTL at 49
Info (169178): Pin sdram_data[8] uses I/O standard 3.3-V LVTTL at 112
Info (169178): Pin sdram_data[9] uses I/O standard 3.3-V LVTTL at 111
Info (169178): Pin sdram_data[10] uses I/O standard 3.3-V LVTTL at 110
Info (169178): Pin sdram_data[11] uses I/O standard 3.3-V LVTTL at 106
Info (169178): Pin sdram_data[12] uses I/O standard 3.3-V LVTTL at 105
Info (169178): Pin sdram_data[13] uses I/O standard 3.3-V LVTTL at 104
Info (169178): Pin sdram_data[14] uses I/O standard 3.3-V LVTTL at 103
Info (169178): Pin sdram_data[15] uses I/O standard 3.3-V LVTTL at 101
Info (169178): Pin i2c_data uses I/O standard 3.3-V LVTTL at 142
Info (169178): Pin reset_n uses I/O standard 3.3-V LVTTL at 91
Info (169178): Pin bt656_clk_27m uses I/O standard 3.3-V LVTTL at 88
Info (169178): Pin clk uses I/O standard 3.3-V LVTTL at 23
Info (169178): Pin bt656_data[5] uses I/O standard 3.3-V LVTTL at 67
Info (169178): Pin bt656_data[0] uses I/O standard 3.3-V LVTTL at 72
Info (169178): Pin bt656_data[1] uses I/O standard 3.3-V LVTTL at 73
Info (169178): Pin bt656_data[2] uses I/O standard 3.3-V LVTTL at 71
Info (169178): Pin bt656_data[6] uses I/O standard 3.3-V LVTTL at 68
Info (169178): Pin bt656_data[3] uses I/O standard 3.3-V LVTTL at 69
Info (169178): Pin bt656_data[4] uses I/O standard 3.3-V LVTTL at 70
Info (169178): Pin bt656_data[7] uses I/O standard 3.3-V LVTTL at 65
Info (169178): Pin sdram_data[0] uses I/O standard 3.3-V LVTTL at 58
Info (169178): Pin sdram_data[1] uses I/O standard 3.3-V LVTTL at 55
Info (169178): Pin sdram_data[2] uses I/O standard 3.3-V LVTTL at 54
Info (169178): Pin sdram_data[3] uses I/O standard 3.3-V LVTTL at 53
Info (169178): Pin sdram_data[4] uses I/O standard 3.3-V LVTTL at 52
Info (169178): Pin sdram_data[5] uses I/O standard 3.3-V LVTTL at 51
Info (169178): Pin sdram_data[6] uses I/O standard 3.3-V LVTTL at 50
Info (169178): Pin sdram_data[7] uses I/O standard 3.3-V LVTTL at 49
Info (169178): Pin sdram_data[8] uses I/O standard 3.3-V LVTTL at 112
Info (169178): Pin sdram_data[9] uses I/O standard 3.3-V LVTTL at 111
Info (169178): Pin sdram_data[10] uses I/O standard 3.3-V LVTTL at 110
Info (169178): Pin sdram_data[11] uses I/O standard 3.3-V LVTTL at 106
Info (169178): Pin sdram_data[12] uses I/O standard 3.3-V LVTTL at 105
Info (169178): Pin sdram_data[13] uses I/O standard 3.3-V LVTTL at 104
Info (169178): Pin sdram_data[14] uses I/O standard 3.3-V LVTTL at 103
Info (169178): Pin sdram_data[15] uses I/O standard 3.3-V LVTTL at 101
Info (169178): Pin i2c_data uses I/O standard 3.3-V LVTTL at 142
Info (169178): Pin reset_n uses I/O standard 3.3-V LVTTL at 91
Info (169178): Pin bt656_clk_27m uses I/O standard 3.3-V LVTTL at 88
Info (169178): Pin clk uses I/O standard 3.3-V LVTTL at 23
Info (169178): Pin bt656_data[5] uses I/O standard 3.3-V LVTTL at 67
Info (169178): Pin bt656_data[0] uses I/O standard 3.3-V LVTTL at 72
Info (169178): Pin bt656_data[1] uses I/O standard 3.3-V LVTTL at 73
Info (169178): Pin bt656_data[2] uses I/O standard 3.3-V LVTTL at 71
Info (169178): Pin bt656_data[6] uses I/O standard 3.3-V LVTTL at 68
Info (169178): Pin bt656_data[3] uses I/O standard 3.3-V LVTTL at 69
Info (169178): Pin bt656_data[4] uses I/O standard 3.3-V LVTTL at 70
Info (169178): Pin bt656_data[7] uses I/O standard 3.3-V LVTTL at 65
Warning (332174): Ignored filter at action_vip.sdc(49): pll_sys_inst|altpll_component|pll|inclk[0] could not be matched with a pin
Warning (332174): Ignored filter at action_vip.sdc(49): pll_sys_inst|altpll_component|pll|clk[0] could not be matched with a pin
Warning (332049): Ignored create_generated_clock at action_vip.sdc(49): Argument <targets> is an empty collection
Info (332050): create_generated_clock -name {pll_sys:pll_sys_inst|altpll:altpll_component|_clk0} -source [get_pins {pll_sys_inst|altpll_component|pll|inclk[0]}] -duty_cycle 50.000 -multiply_by 25 -divide_by 3 -master_clock {clk} [get_pins {pll_sys_inst|altpll_component|pll|clk[0]}]
Info (332050): create_generated_clock -name {pll_sys:pll_sys_inst|altpll:altpll_component|_clk0} -source [get_pins {pll_sys_inst|altpll_component|pll|inclk[0]}] -duty_cycle 50.000 -multiply_by 25 -divide_by 3 -master_clock {clk} [get_pins {pll_sys_inst|altpll_component|pll|clk[0]}]
Warning (332049): Ignored create_generated_clock at action_vip.sdc(49): Argument -source is an empty collection
Warning (332174): Ignored filter at action_vip.sdc(50): pll_sys_inst|altpll_component|pll|clk[1] could not be matched with a pin
Warning (332049): Ignored create_generated_clock at action_vip.sdc(50): Argument <targets> is an empty collection
Info (332050): create_generated_clock -name {pll_sys:pll_sys_inst|altpll:altpll_component|_clk1} -source [get_pins {pll_sys_inst|altpll_component|pll|inclk[0]}] -duty_cycle 50.000 -multiply_by 25 -divide_by 3 -phase 60.000 -master_clock {clk} [get_pins {pll_sys_inst|altpll_component|pll|clk[1]}]
Info (332050): create_generated_clock -name {pll_sys:pll_sys_inst|altpll:altpll_component|_clk1} -source [get_pins {pll_sys_inst|altpll_component|pll|inclk[0]}] -duty_cycle 50.000 -multiply_by 25 -divide_by 3 -phase 60.000 -master_clock {clk} [get_pins {pll_sys_inst|altpll_component|pll|clk[1]}]
Warning (332049): Ignored create_generated_clock at action_vip.sdc(50): Argument -source is an empty collection
Warning (332174): Ignored filter at action_vip.sdc(83): pll_sys:pll_sys_inst|altpll:altpll_component|_clk0 could not be matched with a clock
Warning (332174): Ignored filter at action_vip.sdc(83): pll_sys:pll_sys_inst|altpll:altpll_component|_clk1 could not be matched with a clock
Warning (332174): Ignored filter at action_vip.sdc(90): *ws_dgrp|dffpipe_re9:dffpipe20|dffe21a* could not be matched with a keeper
Warning (332049): Ignored set_false_path at action_vip.sdc(90): Argument <to> is an empty collection
Info (332050): set_false_path -from [get_keepers {*rdptr_g*}] -to [get_keepers {*ws_dgrp|dffpipe_re9:dffpipe20|dffe21a*}]
Info (332050): set_false_path -from [get_keepers {*rdptr_g*}] -to [get_keepers {*ws_dgrp|dffpipe_re9:dffpipe20|dffe21a*}]
Warning (332174): Ignored filter at action_vip.sdc(91): *rs_dgwp|dffpipe_qe9:dffpipe16|dffe17a* could not be matched with a keeper
Warning (332049): Ignored set_false_path at action_vip.sdc(91): Argument <to> is an empty collection
Info (332050): set_false_path -from [get_keepers {*delayed_wrptr_g*}] -to [get_keepers {*rs_dgwp|dffpipe_qe9:dffpipe16|dffe17a*}]
Info (332050): set_false_path -from [get_keepers {*delayed_wrptr_g*}] -to [get_keepers {*rs_dgwp|dffpipe_qe9:dffpipe16|dffe17a*}]
Warning (332060): Node: video_process:TV_Box|bt656_rx:bt656_rx_inst|lcc2 was determined to be a clock but was found without an associated clock assignment.
Warning (332060): Node: I2C_AV_Config:I2C_AV_Config_inst|mI2C_CTRL_CLK was determined to be a clock but was found without an associated clock assignment.
Warning (332056): PLL cross checking found inconsistent PLL clock settings:
Warning (332056): Clock: pll_xscale:pll_xscale_inst|altpll:altpll_component|_clk0 was found on node: pll_xscale_inst|altpll_component|pll|clk[0] with settings that do not match the following PLL specifications:
Warning (332056): -multiply_by (expected: 3, found: 5), -divide_by (expected: 2, found: 9)
Warning (332056): -phase (expected: 45.00, found: 0.00)
Warning (332056): Clock: pll_xscale:pll_xscale_inst|altpll:altpll_component|_clk1 was found on node: pll_xscale_inst|altpll_component|pll|clk[1] with settings that do not match the following PLL specifications:
Warning (332056): -multiply_by (expected: 9, found: 3), -divide_by (expected: 2, found: 2)
Warning (332056): Node: pll_xscale_inst|altpll_component|pll|clk[2] was found missing 1 generated clock that corresponds to a base clock with a period of: 37.037
Warning (332056): Clock: pll_xscale:pll_xscale_inst|altpll:altpll_component|_clk0 was found on node: pll_xscale_inst|altpll_component|pll|clk[0] with settings that do not match the following PLL specifications:
Warning (332056): -multiply_by (expected: 3, found: 5), -divide_by (expected: 2, found: 9)
Warning (332056): -phase (expected: 45.00, found: 0.00)
Warning (332056): -multiply_by (expected: 3, found: 5), -divide_by (expected: 2, found: 9)
Warning (332056): -phase (expected: 45.00, found: 0.00)
Warning (332056): Clock: pll_xscale:pll_xscale_inst|altpll:altpll_component|_clk1 was found on node: pll_xscale_inst|altpll_component|pll|clk[1] with settings that do not match the following PLL specifications:
Warning (332056): -multiply_by (expected: 9, found: 3), -divide_by (expected: 2, found: 2)
Warning (332056): -multiply_by (expected: 9, found: 3), -divide_by (expected: 2, found: 2)
Warning (332056): Node: pll_xscale_inst|altpll_component|pll|clk[2] was found missing 1 generated clock that corresponds to a base clock with a period of: 37.037
Warning (332060): Node: video_process:TV_Box|bt656_rx:bt656_rx_inst|lcc2 was determined to be a clock but was found without an associated clock assignment.
Warning (332060): Node: I2C_AV_Config:I2C_AV_Config_inst|mI2C_CTRL_CLK was determined to be a clock but was found without an associated clock assignment.
Warning (332056): PLL cross checking found inconsistent PLL clock settings:
Warning (332056): Clock: pll_xscale:pll_xscale_inst|altpll:altpll_component|_clk0 was found on node: pll_xscale_inst|altpll_component|pll|clk[0] with settings that do not match the following PLL specifications:
Warning (332056): -multiply_by (expected: 3, found: 5), -divide_by (expected: 2, found: 9)
Warning (332056): -phase (expected: 45.00, found: 0.00)
Warning (332056): Clock: pll_xscale:pll_xscale_inst|altpll:altpll_component|_clk1 was found on node: pll_xscale_inst|altpll_component|pll|clk[1] with settings that do not match the following PLL specifications:
Warning (332056): -multiply_by (expected: 9, found: 3), -divide_by (expected: 2, found: 2)
Warning (332056): Node: pll_xscale_inst|altpll_component|pll|clk[2] was found missing 1 generated clock that corresponds to a base clock with a period of: 37.037
Warning (332056): Clock: pll_xscale:pll_xscale_inst|altpll:altpll_component|_clk0 was found on node: pll_xscale_inst|altpll_component|pll|clk[0] with settings that do not match the following PLL specifications:
Warning (332056): -multiply_by (expected: 3, found: 5), -divide_by (expected: 2, found: 9)
Warning (332056): -phase (expected: 45.00, found: 0.00)
Warning (332056): -multiply_by (expected: 3, found: 5), -divide_by (expected: 2, found: 9)
Warning (332056): -phase (expected: 45.00, found: 0.00)
Warning (332056): Clock: pll_xscale:pll_xscale_inst|altpll:altpll_component|_clk1 was found on node: pll_xscale_inst|altpll_component|pll|clk[1] with settings that do not match the following PLL specifications:
Warning (332056): -multiply_by (expected: 9, found: 3), -divide_by (expected: 2, found: 2)
Warning (332056): -multiply_by (expected: 9, found: 3), -divide_by (expected: 2, found: 2)
Warning (332056): Node: pll_xscale_inst|altpll_component|pll|clk[2] was found missing 1 generated clock that corresponds to a base clock with a period of: 37.037
Warning (332060): Node: video_process:TV_Box|bt656_rx:bt656_rx_inst|lcc2 was determined to be a clock but was found without an associated clock assignment.
Warning (332060): Node: I2C_AV_Config:I2C_AV_Config_inst|mI2C_CTRL_CLK was determined to be a clock but was found without an associated clock assignment.
Warning (332056): PLL cross checking found inconsistent PLL clock settings:
Warning (332056): Clock: pll_xscale:pll_xscale_inst|altpll:altpll_component|_clk0 was found on node: pll_xscale_inst|altpll_component|pll|clk[0] with settings that do not match the following PLL specifications:
Warning (332056): -multiply_by (expected: 3, found: 5), -divide_by (expected: 2, found: 9)
Warning (332056): -phase (expected: 45.00, found: 0.00)
Warning (332056): Clock: pll_xscale:pll_xscale_inst|altpll:altpll_component|_clk1 was found on node: pll_xscale_inst|altpll_component|pll|clk[1] with settings that do not match the following PLL specifications:
Warning (332056): -multiply_by (expected: 9, found: 3), -divide_by (expected: 2, found: 2)
Warning (332056): Node: pll_xscale_inst|altpll_component|pll|clk[2] was found missing 1 generated clock that corresponds to a base clock with a period of: 37.037
Warning (332056): Clock: pll_xscale:pll_xscale_inst|altpll:altpll_component|_clk0 was found on node: pll_xscale_inst|altpll_component|pll|clk[0] with settings that do not match the following PLL specifications:
Warning (332056): -multiply_by (expected: 3, found: 5), -divide_by (expected: 2, found: 9)
Warning (332056): -phase (expected: 45.00, found: 0.00)
Warning (332056): -multiply_by (expected: 3, found: 5), -divide_by (expected: 2, found: 9)
Warning (332056): -phase (expected: 45.00, found: 0.00)
Warning (332056): Clock: pll_xscale:pll_xscale_inst|altpll:altpll_component|_clk1 was found on node: pll_xscale_inst|altpll_component|pll|clk[1] with settings that do not match the following PLL specifications:
Warning (332056): -multiply_by (expected: 9, found: 3), -divide_by (expected: 2, found: 2)
Warning (332056): -multiply_by (expected: 9, found: 3), -divide_by (expected: 2, found: 2)
Warning (332056): Node: pll_xscale_inst|altpll_component|pll|clk[2] was found missing 1 generated clock that corresponds to a base clock with a period of: 37.037

时间: 2024-08-07 08:37:23

蛋疼的 qii 神马警告才是需要注意的警告?(由于警告引起的截然不同的运行结果)的相关文章

数字签名和数字证书到底是个神马玩意?

数字签名和数字证书到底是个神马玩意?通过以下图文有了更深的理解啊, (感谢阮一峰的翻译,转载了一篇好文章啊,感谢.) 1. 鲍勃有两把钥匙,一把是公钥,另一把是私钥. 2. 鲍勃把公钥送给他的朋友们----帕蒂.道格.苏珊----每人一把. 3. 苏珊要给鲍勃写一封保密的信.她写完后用鲍勃的公钥加密,就可以达到保密的效果. 4. 鲍勃收信后,用私钥解密,就看到了信件内容.这里要强调的是,只要鲍勃的私钥不泄露,这封信就是安全的,即使落在别人手里,也无法解密. 5. 鲍勃给苏珊回信,决定采用"数字签

4G+又是神马鬼?和LTE-A有什么关系?

4G+又是神马鬼?和LTE-A有什么关系? 先说LTE 和LTE-A LTE全称long-term-evolution这个名字听怎么听怎么觉得有些仓促,它又称为3.9G,其上行峰值速率和最大带宽略低于4G标准,真正将网络带入4G的是LTE-Advanced,即LTE-A. LTE-A是一个LTE演进的代称,它满足ITU-R 的IMT-Advanced技术征集的需求,不仅是3GPP形成欧洲IMT-Advanced技术提案的一个重要来源,还是一个后向兼容的技术,完全兼容LTE,是演进而不是革命.它的

SQLSERVER 里经常看到的CACHE STORES是神马东东?

SQLSERVER 里经常看到的CACHE STORES是神马东东? 当我们在SSMS里执行下面的SQL语句清空SQLSERVER的缓存的时候,我们会在SQL ERRORLOG里看到一些信息 DBCC FREEPROCCACHE 大家可以看到cachestore.object plans.sql plan.bound tress等名词 cachestore flush for the 'Object Plans' cachestore (part of plan cache) cachestor

从神马搜索到阿里上市 马云到底想要干什么?

笔者注:不疯魔不成活,这句话往往形容那些处事怪异,最后却走向成功的人.而就目前来看全球绝大多数成功的名流,都属于这种偏执型的人.乔布斯.扎克伯格.马云等,莫不如此.而近来,在国内互联网领域,最引人注意的无疑就是马云及阿里巴巴.虽然马云已经在明面上隐居幕后,但在阿里巴巴上市的关键时刻,他依然为阿里定下了"增肥"的路子. 事实上,阿里巴巴"增肥"的路子早就已经可以看出端倪.在上市消息传出以前,投资新浪微博.收购高德.战略投资UC.在移动端布局"来往"

51CTO 神马叫自动化运维

51CTO 神马叫自动化运维 http://www.cnblogs.com/lyhabc/diary/2014/12/18/4171160.html http://3060674.blog.51cto.com/3050674/1590803 好久没写文章了,最近要来刷下存在感,近两年,运维自动化被炒的火的不行,行业趋势不可挡,现在企业招运维工程师都要求会一门开发语言.我们公司也不例外,由于刚上市,一下子有钱了,开始招兵买马瞎折腾,因此最近我也面试了不下十来个求职者,本成想可以很容易招到几个不错的

深喉爆料:UC拒绝百度20亿美金收购、做神马幕后

20亿美金!这可能是中国互联网史上最遗憾的姻缘.互联网江湖的是非,充满了男人们的野心.算计和意气. 这本是一桩足以创造中国互联网并购记录的姻缘. 手机浏览器霸主UC,5年来先后拒绝搜索霸主百度两次巨额邀约.条件从收购退让为控股,李彦宏的出价到了极有诚意的20亿美金.但最后,马云横刀夺爱,百度则转身19亿美金吃下了91助手. 爱不成,仇相见.如今,双方已为移动搜索大打出手.五一当天,我呼吁新战场各方应该公平竞争,俞永福微博公开转发了此文,并声称"中国的互联网竞争就不能像男人一样在擂台上按规则竞赛,

狼性百度凶狠反扑 神马有如此威胁?

一切发生的太快了,神马上线不到两天百度就出手了,而且是一记重招.有了两年前360搜索的教训,百度是不想给神马一点活路了,在移动端全面封杀UC的措施,引爆了双方的口水大战. 凶狠百度 欲将威胁扼杀于摇篮 UC联合阿里推移动搜索"神马"的举动刺激了百度,虽然神马才刚刚上线,还有许多地方需要完善,但百度已经下定决心要尽可能的抑制神马发展,因为和360在PC端推出搜索相比,UC在移动端推出的神马,潜在威胁更加可怕. 此前,360刚开始推出搜索业务时,百度第一次感觉到大本营的岌岌可危.因为作为一

从王自如和老罗的论战中我貌似懂得了点神马...

3个小时啊!本猫从未一鼓作气看过超过2个小时的非电影作品啊!说是论战,结果基本就是骂战,并且还是一边倒.我本来非常少看这类辩论性的"节目",由于本猫的脑袋瓜子从来都是"海绵式"而不是"淘金式"的工作原理丫,首先这个就让我貌似懂得了神马... 其次我原先对二人都不熟,更不是不论什么人的粉丝脑残或黑丝脑残,不是必需做不论什么人的水军或5毛党.王自如其人原来压根不认识,他的測评站点(公司)Zxx(网上搜到的,如今名字还懒得记)神马的曾经也从没听说过;而

网络直播课程:神马是敏捷?(直播时间:2014-7-14 20:00-21:00)

主题:神马是敏捷? 概述:敏捷看上去很酷,是解决研发混乱的救命稻草?敏捷门派很多,什么才是敏捷呢?敏捷过于理想,无法在中国落地?如何才能让敏捷真正发挥实效呢? 课程大纲:1.敏捷的各大门派2.敏捷的四大宣言和12个准则3.敏捷团队模型及最佳实践4.中国IT行业对敏捷的挑战  4.1 两大限死,两不确定  4.2 中国技术人员的特点5.敏捷不能当饭吃  5.1 敏捷对组织架构的要求  5.2 敏捷对团队文化的要求  5.3 敏捷对薪金待遇的要求6.敏捷的本质是什么? 主讲老师:张传波(Fireba