Verilog 二选一多路选择器 Modelsim设计。

一个简单的二选一多路选择器

逻辑图

Verilog源程序

module Mux_Two
(
    input   a,     //Data
    input   b,     //Data
    input   sl,    //High: b  ;Low: a

    output reg out
);
always@(sl or a or b)
    if(!sl)  out=a;
    else     out=b;
endmodule 

Modelsim架构文件

a为输入25MHz方波,b为输入12.5MHz的方波,sl为输入6.25MHz的方波。sl为高电平时,out输出b;sl为低电平时,out输出a。

`timescale 1ns/1ns
module Mux_Two_TB;
localparam PERIOD=20;
reg a;    //25Mhz
reg b;    //12.5Mhz
wire out; //6.25Mhz
reg sl;   //High: b  ;Low: a
initial
begin
    a=0;
    forever #(PERIOD) //25Mhz
    a=~a;
end 

initial
begin
    b=0;
    forever #(PERIOD*2)   //12.5Mhz
    b=~b;
end 

initial
begin
    sl=0;
    forever #(PERIOD*4) //6.25Mhz
    sl=~sl;
end 

Mux_Two u_Mux_Two
(
    .a        (a),
    .b        (b),

    .sl      (sl),  //High: b  ;Low: a
    .out     (out)
);
initial
begin
end
endmodule

仿真结果

原文地址:https://www.cnblogs.com/CrazyStranger/p/9662752.html

时间: 2024-07-29 03:17:00

Verilog 二选一多路选择器 Modelsim设计。的相关文章

二选一数据选择器的设计

写在前面的话 数据选择器在数字电路设计中的应用尤为广泛.同时,作为基础的电路功能单元,也比较适合作为初学者的入门实验.现在梦翼师兄陪大家一起来设计一个最基础的数据选择器. 项目需求 设计一个二选一数据选择器,然后用一路控制信号选择输出数据选通哪一路输入的数据信号. 系统架构 模块功能介绍 模块名 功能描述 mux2 通过Data_sel 选择输出结果的值 顶层模块端口描述 端口名 端口说明 Data_a A通道数据输入 Data_b B通道数据输入 Data_out 数据输出端 Data_sel

多路选择器,加法器原理及verilog实现

1.数据选择器是指经过选择,把多个通道的数据传到唯一的公共数据通道上.实现数据选择功能的逻辑电路称为数据选择器,它的作用相当于多个输入的单刀多掷开关.本例程以四选一数据选择器(电平触发)为例. 四选一数据选择器书堆 4 个数据源进行选择, 使用量为地址 A1A0 产生 4 个地址信号,由 A1A0 等于"00" . "01" . "10" . "11"来选择输出,真值表如下: 对应的verilog代码为 module mux4

多路选择器实现总线结构——Verilog

1 ////////////////////////////////////////////////////////////////////////////////// 2 //该程序完成通过多路选择器MUX完成总线读写的功能. 3 module MuxBus(input request1,input request2,input request3,input request4,input[7:0] unit0_out,input[7:0] unit1_out, 4 input[7:0] uni

sencha touch Model validations 自定义验证 二选一输入验证、重复验证

项目初始化时执行以下代码 1 //重写模型,方便进行自定义验证 2 Ext.define("Ext.zh.data.Model", { 3 override: "Ext.data.Model", 4 validate: function () { 5 var errors = Ext.create('Ext.data.Errors'), 6 validations = this.getValidations().items, 7 validators = Ext.d

两种不同的多路选择器?

综合后遇到两种不同的多路选择器. 第一种,通过sel输入选择输出是A[7:0]还是E[7:0]. 第二种,通过sel输入选择输出是ABCD中的某一个. 值得注意的是当ABCD变成多位的时候,综合后的结果就变成这样了: 看起来MUX只能做一位的选择,不能选择多位向量. 我想知道前两种“多路选择器都是一样的吗?”

那些年 我们在互联网公司之间做的二选一

众所周知,亚马逊的AWS平台在自用的同时也对外提供计算.存储和网络等基础设施服务,而沃尔玛方面所使用的一些科技公司的应用程序同样也会在AWS平台上运行.但前不久,沃尔玛告知这些科技公司如果它们想要继续获得更多业务,就不能在亚马逊AWS中运行有关沃尔玛的应用程序,原因是不希望自己的数据出现在竞争对手的平台上.有了"大哥"沃尔玛带头,随后一些零售商也对自己合作的科技公司提出了相同的要求,虽然科技公司的出走不至于伤到亚马逊的筋骨,但想必对于亚马逊来说,也要郁闷一阵子了. 虽然对国外的零售商来

三十二、Java图形化界面设计——布局管理器之CardLayout(卡片布局)

摘自 http://blog.csdn.net/liujun13579/article/details/7773945 三十二.Java图形化界面设计--布局管理器之CardLayout(卡片布局) 卡片布局能够让多个组件共享同一个显示空间,共享空间的组件之间的关系就像一叠牌,组件叠在一起,初始时显示该空间中第一个添加的组件,通过CardLayout类提供的方法可以切换该空间中显示的组件. 1.  CardLayout类的常用构造函数及方法 2.  使用CardLayout类提供的方法可以切换显

品牌加速撤离京东的背后:除了“二选一”还有这个原因

前段时间上映的大片<敦刻尔克>让人感受到:"原来撤离也能这么有激情和感染力!"但在现实生活中,主动性的撤离却并非都那么美好.毕竟再怎么主动撤离,其实也是出于种种原因,更像是被逼迫下的无奈. 而近段时间在京东这一电商平台上,众多品牌就上演了一场"敦刻尔克式"的集体大撤离!在加速撤离京东的背后,除了"二选一"这众人皆知的原因外,更有其他原因的存在,成为决定性因素. 撤撤撤!一场"反教科书式"大撤离 在近一个月的时间中,

Android笔记-5-EditText密码和Checkbox二选一

EditText密码:明文和密文 密文: 1 public class MainActivity extends Activity { 2 3 private EditText password = null; 4 @Override 5 protected void onCreate(Bundle savedInstanceState) { 6 super.onCreate(savedInstanceState); 7 setContentView(R.layout.activity_main