关于后仿真的一些探讨

用syonpsys工具试着研究了一下,也把自己的感悟分享出来,欢迎指正;
   综合用的dc,后仿真用的vcs;
   对于非展平的网表:
        1. 子模块内部的触发器类型,比如时序逻辑reg[7:0] temp;非展平之后,必然存在与之对应的wire[7:0] temp数据类型,并且命名完全相同,连接std cell的连线;
        2. 子模块内部定义的wire类型,全部被工具定义的std cell 线网取代,找不到相同线网的命名;
        3. 模块间的wire数据类型,由于综合保留Hierarchy结构,所以这些线网可以找到,并且完全相同;
        4. 关于后仿真,使用top.u1.u2.temp == 8‘d8;类似的内部寄存器变量,不影响仿真,但是不能用子模块内部的wire变量作为事件的触发的条件;因为保留了Hier结构和变量名字,vcs可以正确找到;
    对于展平的网表:
        1. 子模块内部的触发器类型,比如时序逻辑reg[7:0] temp;展平之后,命名会以Hier的形式给出,并且是wire型变量,连接std cell;比如wire [7:0] top_u1_u2_temp(我的理解是,如果其它的子模块也写了reg [7:0] temp,工具不至于展平的时候命名相同);
        2. 子模块内部定义的wire类型,全部被工具定义的std cell 线网取代,找不到相同线网的命名;
        3. 模块间的wire数据类型,尽管展平的网表不具备Hier结构,但是模块间的wire连线,保留了原有的命名;但是不具备Hier属性;
        4. 关于后仿真,必须由Port或者第一级Hier的pin作为触发事件,添加正确激励;像使用top.u1.u2.temp == 8‘d8之类的语法,工具无法识别;

原文地址:https://www.cnblogs.com/godlovepeng/p/10176363.html

时间: 2024-08-26 13:12:54

关于后仿真的一些探讨的相关文章

后仿真

---我们就不用modulesim自己来写run.do 文件了,因为有点麻烦. ---我们可以直接用Quartus来运行后仿真. .vo 网标,映射到硬件电路,与sdo对应 .sdo 延时文件,布线 管脚延迟 125C_slow.vo 在高温下的低速---跟严格的仿真才会用到,推测建立时间是否可以 -40C_fast.vo 在低温高速下---验证保持时间

[原创]Modelsim后仿真

因调试需要,进行后仿真,ISE生成的sim文件和sdf文件 `timescale 1 ns/1 ps module lut_dly ( clkout, fpga_clk, config_in ); output clkout; input fpga_clk; input [5 : 0] config_in; wire clk_in; wire dly1; wire config_in_4_IBUF_49; wire config_in_5_IBUF_50; wire dly2_0; wire d

后仿 ---- 转载

转载自: http://www.chipsbank.com/news_detail/newsId=121.html 1.后仿是否必要随着芯片规模的增大,关于IC设计流程中的后仿是否必要,有多种声音. 认为不必要的理由是:布局布线后的网表,已经可以通过STA保证时序,后仿只不过是确认一遍电路的时序.而且随着电路规模不断增大,仿真工具的仿真速度的提升仍不明显,后仿必然是一个很耗时的步骤,时间代价大而收益不明显. 认为有必要的理由是,从公司以往多个项目的经验来看,后仿中也发现了一些问题.而对于有些设计

浅谈用ModelSim+Synplify+Quartus来实现Altera FPGA的仿真

浅谈用ModelSim+Synplify+Quartus来实现Altera FPGA的仿真 工作内容: Mentor公司的ModelSim是业界最优秀的HDL语言仿真软件,它能提供友好的仿真环境,是业界唯一的单内核支持VHDL和Verilog混合仿真的仿真器.它采用直接优化的编译技术.Tcl/Tk技术.和单一内核仿真技术,编译仿真速度快,编译的代码与平台无关,便于保护IP核,个性化的图形界面和用户接口,为用户加快调错提供强有力的手段,是FPGA/ASIC设计的首选仿真软件. Synplify.S

ModelSim仿真入门 精讲

ModelSim仿真入门之一:软件介绍 编写这个教程之前,为了让不同水平阶段的人都能阅读,我尽量做到了零基础入门这个目标,所有的操作步骤都经过缜密的思考,做到了详细再详细的程度. 如果您是FPGA开发方面的初学者,那么这个教程一定能够帮助你在仿真技术上越过新人的台阶:如果您是FPGA开发的老手,这篇文档也并非对您没有帮助,您可以把教程发给其他刚入门的同事,免去您亲自上阵指导的麻烦,把主要的精力放在更有价值的地方. 一.FPGA设计仿真验证简介 严格来讲,FPGA设计验证包括功能仿真.时序仿真和电

FIR仿真教程__Altera FIR

乘着前面写了一个FFT的操作教程,那么趁热把自己做的FIR也顺便写个教程回顾一下,方便以后使用,因为上家公司使用的是Altera的器件,同样这次仿真也就使用Altera 的IP直接进行演示,后期有时间再弄弄Xilinx的.O(∩_∩)O~ 1 FIR的原理 FIR滤波器广泛应用于数字信号处理中,主要功能就是选择性的留下有用信号,剔除不必要的信号滤除.FIR滤波器是全零点结构,系统永远稳定:并且具有线性相位的特征,在有效频率范围内所有信号相位上不失真.在无线通信收发机中的DDC/DUC模块,抽取和

初识QuartusII 9.0(破解,半加器的仿真,综合:下)

完成波形的随机设置(A,B任意给定高低电平即可,只是当作测试信号),选择任务栏Assignments[Setings],设置Simulation mode为functional,其余保持不变点击ok.点击进行编译,点击进行功能仿真,波形如下所示. 选择波形,查看Task栏目里RTL级,点击可以生成基于该波形的电路结构,通过查看该电路,验证verilog程序是否满足要求,若不满足,在打开.v文件修改保存再进行一系列的仿真,而不需要重新建立工程.若满足,选择任务栏Assignments[Seting

关于Modelsim仿真速度的优化

如果在不需要波形,只需要快速知道结果的情况下,可以用优化选项.这适用于做大量case的仿真阶段.因为这一阶段多数case都是通过的,只需要快速确认即可,然后把没通过的case拿出来做全波形的仿真调试. 根据“Quick Reference Guide for Increasing Performance”,经典的办法是 3-step method1) Compile2) Optimize3) Simulate 脚本如下vlib workvlog *.vvopt -o testbench_opt

ModelSim 仿真流程简要

1.    ModelSim简介 ModelSim分几种不同的版本:SE.PE和OEM,其中集成在 Actel(爱特公司).Altera (阿尔特拉).Xilinx(赛灵思)以及Lattice(莱迪思)等FPGA厂商设计工具中的均是其OEM版本,SE版本为最高级版本.ModelSim仿真分为功能仿真,门级仿真,时序仿真 ◆  功能仿真(前仿真,代码仿真) 主旨在于验证电路的功能是否符合设计要求,其特点是不考虑电路门延迟与线延迟,主要是验证电路与理想情况是否一致.可综合FPGA代码是用RTL级代码