zz数字前端及FPGA设计相关书目泛读

以下内容为EETOP 会员:leasor 总结整理

========================================================
初学cpu设计(完全教程)
--------------------------------------------------
抛开逻辑架构, 来演绎内核开发, 只不过是照猫画虎, 能有多大的价值,
为什么总有人避重就轻, 先感谢译者的辛勤劳动, 但能不能修整一下框图绘制,
如果你不是pcb工具, fpga ide中都包含了绘制工具, 如果都不会也没关系,
流程图工具也可绘制框图, 就不要再用画笔, 每每看到这类粗犷霸气的画风,
为啥不去为中国动漫做点贡献
8位rise设计
---------------------------------------------------------
如果呆丸人的ic都是这么来的, 我只能说深表同情!

片上系统设计思想与源代码分析
-----------------------------------------------------------------
目前为止对于openrise1000/1200(or1000/1200)的注释翻译最全的一本书,
其中对于相关外设的资源都值得收藏.

但大部分基础, 继续深入还需要找其他的资源


Verilog超详细教程-北京大学于敦山
-------------------------------------------------------------
最全的verilog语法书, 虽然是课件, 描述很到位, 值得收藏.

Verilog HDL程序设计实例详解
----------------------------------------------------
抄来的大量的开源ip, 可以备用, 省得自己的查找.

Synthesis And Optimization Of Digital Circuits
---------------------------------------------------------------------------
对于组合逻辑和时序逻辑的比较, 很详细.
之后的对于图论的背景知识的大篇幅介绍, 不知何意?
明白了, 之后的内容都是基于图论展开的,
之后的vhdl综合和优化, 逻辑层的综合和优化, 架构的综合和优化, 调度算法,多层组合逻辑优化, 时序逻辑的优化.
但是公式化太明显, 关键的概念有蜻蜓点水之嫌.
满足一本教材的铁律: 将简单的事情复杂, 将复杂东西简单化.

Reconfigurable Computing The Theory and Practice of FPGA-Based Computation
---------------------------------------------------------------------------------------------------------------
基于FPGA构造并行机的论文集, 无爱, 果断删除.

FSM based Digital Design using Verilog HDL
----------------------------------------------------------------------
很早之前就听过这本书, 一看之下确实不错, 可以学到状态图的绘制,
用布尔运算来简化状态图, 本书基本使用布尔公式描述状态图.

一直有一个问题, 如何使得FSM的同步处理更加可读? 这本书有介绍
一些, 以及FSM异步处理.

Digital Signal Processing with Field Programmable Gate Arrays, 3rd
----------------------------------------------------------------------------------------------------
重点还是放在信号的数字处理的原理公式的推导上, 简单的公式有附带vhdl代码,
至于后面的mcu设计纯属卖萌, 介绍了指令集的设计, 若干软核之间的比较.

Digital Design and Computer Architecture
-----------------------------------------------------------------------------------
前面对于数字电路的设计有点长,
后半部对于难以抉择vhdl和verilog的童鞋很有帮助, 因为会同时给vhdl和verilog的实例,
毕竟是定位为入门书籍, 知识面的收集还是很广, 而且是高清无码, 难得.

值得收藏!

Advanced FPGA Design Architecture Implementation and Optimization
----------------------------------------------------------------------------------------------------
喜欢追求细节的技术流们, 有福了, 这本书就是针对fpga开发中的一些细节问题展开.
奇怪为什么没人翻译了?


vhdlqrc + vlogqrc
-----------------------------------------
vhdl和verilog的语法卡片, 好像上传过


RTL.Hardware.Design.Using.VHDL
The Designer‘s Guide to VHDL
VHDL实用教程
VHDL数字电路设计教程-Circuit Design with VHDL

------------------------------------------------------------------------------
vhdl语法书, 任选一本即可.

Systemverilog功能验证
--------------------------------------------------------------
当做sv的语法书来看吧, 其中石头剪子布的示例有点蛋疼!

SystemVerilog验证+ChrisSpear著_V2
--------------------------------------------------------------
还是当sv语法书来看吧, 但是语法描述比Systemverilog功能验证细很多.

SystemVerilog验证方法学(Verification Methodology Manual for SystemVerilog)
-----------------------------------------------------------------
这似乎是国内的一本圣殿级的书, 但用来入门不太适合, 当你具备了一些systemVerilog验证经验之后,
再来查看书中的推荐规则, 可能更容易理解. 以及基于VMM平台展开, 目前已经不是主流验证平台.

没有中英文对照看, 听过翻译中存在一些问题, 提醒一下.
为了照顾本坛与夏老师的关系, 这里只给出英文版.


高级验证方法学(中文版)(by mentor)
---------------------------------------------------------------------
mentor提供一份文档, 基于avm平台的事务级建模, 名称为高级验证, 实则一些简单的systemVerilog和systemc示例,
在学习的过程中, 你需要时刻提醒自己, 最终的目的是什么?


Verilog and SystemVerilog Gotchas
-------------------------------------------------------------
包含101条verilog和systemVerilog编程时容易造成的错误, 以及如何避免.

你有空的时候可以看看

The Power of Assertions in SystemVerilog
-----------------------------------------------------------------
基于SystemVerilog 2009最新版本的断言处理, 但是描述有点过细, 像是教材.
后部的验证库是OVL.

Writing testbenches using SystemVerilog
---------------------------------------------------------------------
想不到这本书能有400多页,作者肯定死了不少脑细胞.
通篇的泛泛而谈, 示例简单到不能再简单, 对于稍稍复杂一点内容一笔带过,
断言只有5页, 对于语言的深度细节根本没有提及.
垃圾一本.怎么这样的书偏偏出了中文版, 呵呵!  


SystemVerilog+for+Verification-2nd
SystemVerilog+for+Verification-V3 (没什么长进)
------------------------------------------------------------------
与其说是一本书, 不如说是Verification Methodology Manual for SystemVerilog,
The Power of Assertions in SystemVerilog的读书笔记,
其中插图都是直接复制, 作者也太懒了, 来自Writing testbenches using SystemVerilog


SystemVerilog for Design(2nd edition)
------------------------------------------------------------------------
基于systemVerilog 2005版本的基本语法说明, 大概作者真的认为systemVerilog就是verilog的扩展.

SystemVerilog Assertions Handbook
--------------------------------------------------------------------------
对于属性,序列和断言都是详细的描述, 应该是教材.
只是这是一本扫描版, 且不带ocr, 我的目录中有第二版, 但是只有目录和前言, 不明觉厉.
当中也有污损和少量缺页.

step-by-step functional verification with systemverilog and ovm
------------------------------------------------------------------------------------------
前1/4的部分简单介绍了systemVerilog的语言特点和验证方法学.
之后的内容都是依据ovm展开的, 十分的详细和完整, 流口水中...............

Hardware Verification with SystemVerilog
----------------------------------------------------------------------
意图使用现成的chip进行systemverilog验证, 通篇没有硬件的介绍和配置,
加入一些不明觉厉的组件, 给出一些不知所谓的框图, 于是乎一顿胡吹海侃,
深藏功与名.

Hardware Verification with C++
-----------------------------------------------------------
没想到Hardware Verification with SystemVerilog还能出续集, 如同没想到样板戏能有8个,
在群魔乱舞的年代, 只有想不到, 没有做不到吧.
似乎又证明了那句话, 越风骚的排版, 越反衬内容的空洞和单薄,
300页的内容收拾收拾也就100页.

Generating Hardware Assertion Checkers
------------------------------------------------------------------------
越看越像是一个数学专著, 不是我等想学点东西混点小钱的屌丝可以驾驭的,
那位教授收了它吧, 光参考纲目也够研究好一会的.

Digital System Design with SystemVerilog(draft)
-------------------------------------------------------------------------
前半部分对于verilog语法的讲解, 让我有点惊讶, 不过既然是草稿, 也就不多说了.

Creating Assertion-Based IP
----------------------------------------------------------
基于OVM/AVM, 实例也是来自mentor官网, 只是将其中的断言复制出来,
给了一些简单说明,可作为断言编写的辅导性的书籍, 有空我会翻译出来, 请期待,
给个好评吧, 亲.

Assertion Based Design
-------------------------------------------------------
基于OVL,PSL的断言生成, 描述风格与VMM,The Power of Assertions in SystemVerilog
很相似, 附录中也介绍了systemverilog 3.1a的断言.

推荐收藏备用.


A Practical Guide for SystemVerilog Assertions
--------------------------------------------------------------------------------
很喜欢一个一个知识点细细讲来,不紧不慢的描述风格.
出版是2005, 应该是使用systemverilog 3.1a版本.
其中对于接口, sdram, pci都是介绍. 强烈推荐
已有中文版SystemVerilog+Assertions应用指南,
应该还有随书光盘.  Timequest应用文档
--------------------------------------------------------------

小编补充:如果是初学者还可以推荐网友特权同学的 《FPGA实战演练逻辑篇》和《深入浅出玩转FPGA》
EETOP BBS有部分章节电子版放出 http://bbs.eetop.cn/forum-295-1.html

原文地址:https://www.cnblogs.com/lemontreed/p/8475961.html

时间: 2024-08-03 07:47:26

zz数字前端及FPGA设计相关书目泛读的相关文章

转载--关于FPGA设计数字信号处理电路的心得

FPGA使用的越来越广泛,除了可用于设计控制电路以为,数字信号处理电路更是FPGA的强项和难点.个人可以说才刚刚入门FPGA设计,也做过一些数字信号处理方面的电路设计,记录下个人心得体会. (一)善用MATLAB来为设计做充分的准备和验证. 在学习EDA课程的时候,我们往往都是按照要求,直接打开QuartusII,噼里啪啦开始疯狂敲代码,然后仿真--不对--再改再仿真--还不对--再改直到仿真结果正确为止.不错,这的确是人们先入为主的一种方法.但这只是我们学习HDL语言,学习使用开发工具时候比较

FPGA设计流程

FPGA设计人体分为设计输入.综合.功能仿真(前仿真).实现.时序仿真(后仿真).配置下载等六个步骤,设计流程如图2所示.下面分别介绍各个设计步骤. 1 设计输入 设计输入包括使用硬件描述语言HDL.状态图与原理图输入三种方式.HDL设计方式是现今设计大规模数字集成电路的良好形式,除IEEE标准中VHDL与Verilog HDL两种形式外,尚有各自FPGA厂家推出的专用语言,如Quartus下的AHDL.HDL语言描述在状态机.控制逻辑.总线功能方面较强,使其描述的电路能特定综合器(如Synop

02-FPGA设计流程介绍——小梅哥FPGA设计思想与验证方法视频教程配套文档

芯航线——普利斯队长精心奉献 课程目标: 1.了解并学会FPGA开发设计的整体流程 2.设计一个二选一选择器并进行功能仿真.时序仿真以及板级验证 实验平台:芯航线FPGA开发板.杜邦线 实验内容: 良好的文件夹设置以及工程管理是一个好的FPGA设计的基础,在学习之初就建立俩良好的习惯,会少走一些弯路.因此我们首先在新建的工程文件夹下面,分别建立如图2-1所示的子文件夹. 图2-1 FPGA工程子文件夹 上图中,prj为工程文件存放目录:rtl为verilog可综合代码存放目录:testbench

[转]消除FPGA设计中的毛刺问题

一.FPGA(Field Programmable Gate Array)以其容量大.功能强以及可靠性高等特点,在现代数字通信系统中得到广泛的应用.采用FPGA设计数字电路已经成为数字电路系统领域的主要设计方式之一.在FPGA的设计中,毛刺现象是长期困扰电子设计工程师的设计问题之一,是影响工程师设计效率和数字系统设计有效性和可靠性的主要因素.由于信号在FPGA的内部走线和通过逻辑单元时造成的延迟,在多路信号变化的瞬间,组合逻辑的输出常常产生一些小的尖峰,即毛刺信号,这是由FPGA内部结构特性决定

FPGA设计经验谈

从大学时代第一次接触FPGA至今已有10多年的时间.至今记得当初第一次在EDA实验平台上完成数字秒表,抢答器,密码锁等实验时,那个兴奋劲.当时由于没有接触到HDL硬件描述语言,设计都是在MAX+plus II原理图环境下用74系列逻辑器件搭建起来的.后来读研究生,工作陆陆续续也用过Quartus II,Foundation,ISE,Libero,并且学习了verilogHDL语言,学习的过程中也慢慢体会到verilog的妙用,原来一小段语言就能完成复杂的原理图设计,而且语言的移植性可操作性比原理

js架构设计模式——前端MVVM框架设计及实现(一)

前端MVVM框架设计及实现(一) 最近抽出点时间想弄个dom模块化的模板引擎,不过现在这种都是MVVM自带的,索性就想自己造轮子写一个简单的MVVM框架了 借鉴的自然还是从正美的avalon开始了,我记得还是去年6月写过一个系列的avalon源码分析的,不过那时候0.7版本,不够健全,现在已经好太多了 框架是面向一个领域,提供一套解决方案,那么我们用前端的MVVM能为我们带来什么便利? 关注点分离 操作数据即操作DOM 动态模板 关注点分离是MVVM与身俱来的,操作数据即操作DOM,是VM中的访

影响FPGA设计中时钟因素的探讨【转】

Crazy Bingo Learn to walk first before you want to run- 影响FPGA设计中时钟因素的探讨 http://www.fpga.com.cn/advance/skill/speed.htm http://www.fpga.com.cn/advance/skill/design_skill3.htm 时钟是整个电路最重要.最特殊的信号,系统内大部分器件的动作都是在时钟的跳变沿上进行, 这就要求时钟信号时延差要非常小, 否则就可能造成时序逻辑状态出错

【转】 FPGA设计的四种常用思想与技巧

本文讨论的四种常用FPGA/CPLD设计思想与技巧:乒乓操作.串并转换.流水线操作.数据接口同步化,都是FPGA/CPLD逻辑设计的内在规律的体现,合理地采用这些设计思想能在FPGA/CPLD设计工作种取得事半功倍的效果. FPGA/CPLD的设计思想与技巧是一个非常大的话题,由于篇幅所限,本文仅介绍一些常用的设计思想与技巧,包括乒乓球操作.串并转换.流水线操作和数据接口的同步方法.希望本文能引起工程师们的注意,如果能有意识地利用这些原则指导日后的设计工作,将取得事半功倍的效果! 乒乓操作“乒乓

08-FPGA状态机设计实例——小梅哥FPGA设计思想与验证方法视频教程配套文档

芯航线--普利斯队长精心奉献 ? 实验目的:1.学习状态机的相关概念 2.理解一段式.两段式以及三段式状态机的区别以及优缺点 实验平台:芯航线FPGA核心板 实验原理: 状态机全称是有限状态机(finite-state machine,缩写:FSM)是表示有限个状态以及在这些状态之间的转移和动作等行为的数学模型. 状态机分为摩尔(Moore)型有限状态机与米利(Mealy)型有限状态机.摩尔状态机输出是只由输入确定的有限状态机(不直接依赖于当前状态).米利有限状态机的输出不止与其输入有关还于它的