property phyupd_prohibition_window_chan1check;
@(posedge dfi_clock) disable iff (((Reset !== 0) | disablePhyMstr_Assertion_eddr) )
(phymstr_cntr < 5010 && phymstr_cntr > 0 ) |-> (!($rose(dfi1_phyupd_req))) ;
endproperty
assert property (phyupd_prohibition_window_chan1check)
else `uvm_error("EDDR :DFI PHYMSTR CHECKER", $psprintf("Phy update request asserted within 4096 cycles before phymstr req assertion.phymstr_cntr = %d",phymstr_cntr) )
时间: 2024-11-08 23:00:03