VCS仿真生成VPD文件

VCS仿真生成VPD文件

一、环境与文件

二、开始仿真

1、compiler

vcs -full64 -f file.f  -debug_pp  +vcd+vcdpluson

参数解释

  • file.f 是你的设计文件索引

  • +vcd+vcdpluson产生Synopsys的波形文件之一vpd格式的波形文件

2、simulate

./simv

生成 名为vcdpluson.vpd的文件

可以使用使用dve查看波形

dve –vpd vcdpluson.vpd

时间: 2024-11-04 14:04:23

VCS仿真生成VPD文件的相关文章

VCS仿真生成fsdb文件

VCS仿真生成fsdb文件(Verilog) 一.环境 Linux 平台 VCS 64bit Verdi3 二.开始仿真 1. 联合仿真环境配置 a.在testbench中加入如下语句: 1 initial begin 2 $fsdbDumpfile("tb.fsdb"); 3 $fsdbDumpvars; 4 end b.注意verdi接口库的路径(脚本中体现) 2.仿真脚本 1 #!/bin/csh -f 2 3 setenv NOVAS_HOME /user/EDA_Tools/

Matlab对Modelsim仿真生成的数据进行分析

Matlab对Modelsim仿真生成数据的处理也是通过文件读写实现的.即通过Verilog语句,将仿真过程中的某个信号写入文件,然后在Matlab中在把这个文件的数据读出来,就可以在Matlab中进行分析了. 下图也通过一个简单的例子,说明一下整个过程. 以下的Verilog语句实现将信号data_out的数据写入data_out.txt文件 integer w_file; initial w_file = $fopen("data_out.txt"); always @(i) be

vs2010环境下生成dll文件

vs2010环境下生成dll文件 1,新建win32工程,选中DLL项目,如下图:2,分别添加头文件和cpp文件 #ifndef LIB_H#define LIB_Hextern "C" int _declspec(dllexport)add(int x,int y);    // 声明为C编译.链接方式的外部函数#endif #include "stdafx.h"int add(int x,int y){    return x+y;} 3,新建win32控制台工

如何在CCS上生成.txt文件并烧录到MSP430

1,在CCS上正确创建工程文件. 新建工程:File-New-CCS Project 2,在main.c文件中编写程序并保存. 1 #include <msp430f169.h> 2 /* 3 * 软件延时 4 */ 5 #define CPU_F1 ((double)1000000) 6 #define delay_us1M(x) __delay_cycles((long)(CPU_F1*(double)x/1000000.0)) 7 #define delay_ms1M(x) __dela

使用javah生成.h文件, 出现无法访问android.app,Activity的错误的解决

在工程ndk22/bin/classes中 运行javah  com.cn.ndk22.Ndk22.Activity ,出现了.h文件 我在bin/classes目录中 ,就是无法访问, : 错误:无法访问android.app.Activity 找不到android.app.Activity 如下图所示 于是我cmd定位到ndk/src,中运行 javah com.heima.ndk.ndkActivity, 成功了就能成功了 ...我也不知道为什么.,如下图 总结:  使用javah生成.h

实现的乐趣——生成一批文件的批处理程序

生成一批文件的批处理程序 最近在看一个系列的教程,然后肯定要记笔记的是吧. 本是按部就班每看完一个视频建立一个文件记录笔记的,可天不如人意,断网了.趁着无事就想把那些笔记文件都先建立起来.但是课程有点多,一个个新建有点麻烦,就想到了批处理,这个操作文件so easy的语言. 实现的代码如下: rem 创建一批文件 @echo off cls echo. & echo Initialize... set filepath=%cd% set filetype=txt set beg=1 set en

python- 按照日期格式(xxxx-xx-xx)每日生成一个文件

请按照这样的日期格式(xxxx-xx-xx)每日生成一个文件,例如今天生成的文件为2013-09-23.log, 并且把磁盘的使用情况写到到这个文件中. #!/usr/bin/env python #!coding=utf-8 import time import os new_time = time.strftime('%Y-%m-%d') //time.strftime()可以用来获得当前时间,可以将时间格式化为字符串 disk_status = os.popen('df -h').read

用matlab生成mif文件

module rom_ip( clk,data, rst_n ); input clk; input rst_n; output [7:0] data; reg [7:0] add; rom_ip_mif rom_ip_mif_inst ( .address ( add ), .clock ( clk ), .q ( data ) ); always @(posedge clk or negedge rst_n) if(!rst_n)begin add <= 8'd0; end else if(

(Unity)Unity自定义Debug日志文件,利用VS生成Dll文件并使用Dotfuscated进展混淆,避免被反编译

Unity自定义Debug日志文件,利用VS生成Dll文件并使用Dotfuscated进行混淆,避免被反编译. 1.打开VS,博主所用版本是Visual Studio 2013. 2.新建一个VC项目,选择类库,取名为JefferyChan,具体步骤如下图: 3.因为要调用Unity中的相关文件,所以这里要引入外部文件.首先在Unity的安装文件夹中找到UnityEngine.dll,我的路径是:D:\Program Files (x86)\Unity\Editor\Data\Managed 如