UVM中的regmodel建模(一)

UVM中的regmodel继承自VMM的RAL(Register Abstract Layer),现在可以先将寄存器模型进行XML建模,再通过Synopsys

家的工具ralgen来直接生成regmodel,提供后门访问,十分方便。

寄存器模型建模:

1)定义一个寄存器,如下;也可以在该class中定义covergroup,sample的function等。在以后的component中在采样。

class  reg_invert  extends uvm_reg;

rand  uvm_reg_field  reg_data;     //uvm_reg_field是寄存器模型中最小的单元,相当于一个bit

//可以定义多个uvm_reg_field;

covergroup  cg_vars();                 //定义covergroup

option.per_instance = 1;     //按intance来采集覆盖率

xxxxxxx;

endgroup

virtual  function  void build();        //与build phase不同,这仅仅是一个建立函数

reg_data = uvm_reg_field::type_id::creat("reg_data");      //new reg_field

reg_data.configure();    //可以定义该field在这个reg中的具体位置,操作方式,是否有复位值

endfunction                                //是否可以随机化等。

function  void  sample_values();

super.sample_values();

if(get_coverage(UVM_CVR_FIELD_VALS))  begin   //get_coverage()返回coverage是否使能

if(cg_vals != null)  cg_vals.sample();

endfunction

configure(this, 1, 0, "RW", 1, 0, 1, 1, 0); this表示parent class,"1"表示此域的宽度,“0”表示最低位的位置,“RW”表示可读可写,“1”是否volatile

“0”上电后的复位值,“1”此域是否有复位,“1”是否可以随机化,“0”此域是否可单独存取

UVM_CVR_FIELD_VALS定义于uvm_coverage_model_e联合体中,还有定义UVM_NO_COVERAGE, UVM_CVR_REG_BITS,

UVM_CVR_ADDR_MAP, UVM_CVR_ALL。

2)定义一组寄存器,如下:

class  block_reg  extends  uvm_reg_block;

rand  reg_gpr0   gpr0;                //定义一个寄存器

rand  uvm_reg_field    ctrl_en;    //也可定义一个寄存器部分

virtual function void build();        //同样与build phase不同,仅仅一个建立函数

this.default_map = creat_map();    //先建立一个addr_map

this.gpr0 = reg_gpr0::type_id::create("gpr0",get_full_name() );

this.gpr0.configure(this, null, "");

this.gpr0.build();

this.gpr0.add_hdl_path();        //后门访问的路径

this.default_map.add_reg();           //前门访问的地址

endfunction

begin

reg_gpr0_bkdr  bkdr = new(this.gpr0.get_full_name() );

this.gpr0.set_backdoor(bkdr);          //设置该寄存器对应的后门操作

end

create_map("", 0, 4, UVM_LITTLE_ENDIAN, 0); 参数名为空, 基地址为0, 系统总线的宽度是4*8,小端格式,不能按byte来寻址。

add_hdl_path(‘{‘{“REG1”, -1, -1}});   表示作为一个整体来寻址。

add_hdl_path(‘{‘{“A_REG”, 15, 1},  ‘{"B_REG", 10, 2}});   表示两部分第15位的为A_REG,第10-11位是B_REG。

add_reg(this.gpr0, ‘h0, "RW");  表示寄存器gpr0,地址是0, 读写方式访问。

class  reg_gpr0_bkdr    extends   uvm_reg_backdoor;

virtual task read(uvm_reg_item rw);

do_pre_read(rw);           //callback函数

rw.value[0] = `REG_TOP_PATH.A_REG;    //路径+已经定义过的A_REG,在add_hdl_path,PATH的定义可以放在命令行

rw.status = UVM_IS_OK;            //返回的状态值定义在uvm_state_e联合体中,还有UVM_HAS_X, UVM_ERROR

do_post_read(rw);

endtask

virtual  task  write(uvm_reg_item rw);

//与read类似

endtask

endclass

uvm_reg_item派生自uvm_sequence_item,表示一个寄存器的Transaction。

uvm_reg_bus_op表示一个对register/memory的bus Transction,主要用在前门访问中

最后子顶层env build_phase中定义如下:

uvm_reg::include_coverage("*", UVM_CVR_FIELD_VALS);

regmodel = block_reg::type_id::create("block", this);

regmodel.build();

regmodel.set_coverage(UVM_CVR_FIELD_VALS);

regmodel.lock_model();

regmodel.default_map.set_auto_predict(0);  //从DUT更新寄存器模型的一种方式

至此后门访问已经可以通过

时间: 2024-08-11 03:27:25

UVM中的regmodel建模(一)的相关文章

UVM中的regmodel建模(三)

总结一下UVM中的寄存器访问实现: 后门访问通过add_hdl_path命令来添加寄存器路径,并扩展uvm_reg_backdoor基类,定义read与write函数,最后在uvm_reg_block类中 通过set_backdoor()来将相应的寄存器与它对应的后门访问的读写函数对应起来.其中read和write函数以路径名来直接操作. 前门访问通过总线操作来实现,在其中有uvm_adapter的扩展类,扩展了函数reg2bus和bus2reg,并在uvm_reg扩展类中用default_ma

UVM中的regmodel建模(二)

UVM的寄存器模型,对一个寄存器bit中有两种数值,mirror值,尽可能的反映DUT中寄存器的值.expected值,尽可能的反映用户期望的值. 几种常用的操作: read/write:可以前门访问也可以后门访问,如果在env的顶层定义过uvm_auto_predict(1),则UVM会在这两个操作后自动调用do_predict函数 来更新寄存器模型中的mirror值. peek/poke:只用于后门访问,而且完全不管硬件的行为,如向只读寄存器写数据,仍可以写入.应用很少. get/set:得

直播开始:'云榨汁机'诞生记--聊聊JavaScript中的'业务建模'

闭包是JavaScript中的一个重要特性,在之前的博文中,我们说闭包是一个'看似简单,其实很有内涵'的特性.当我们用JavaScript来实现相对复杂的业务建模时,我们可以如何利用'闭包'这个特性呢?JavaScript中的'原型继承',又可以解决业务建模中的哪些问题呢?今天我们就通过一家'榨汁机工厂'生产设计'榨汁机'的故事,来聊一聊'闭包'和'原型继承'在业务建模中的作用.现在直播开始: 1> 工厂默认选用A型刀头方案制造榨汁机 例子当中我们主要涉及到2个函数:1.榨汁机的生产工厂(Jui

UVM中的class

UVM中的类包括:基类(base)------------uvm_void/uvm_object/uvm_transaction/uvm_root/uvm_phase/uvm_port_base 报告(reporting)--------uvm_report_object/uvm_report_handler/uvm_report_server/uvm_report_catcher Factory---------uvm_*_register/uvm_factory 配置(config)----

常见的目标检测中的背景建模方法

Author: JW. ZHOU 2014/6/13 最近一直在做前景检测方面的研究,刚开始主要是做一些工程性的应用,为了解决工程方面的问题,下了不少功夫,也看了不少最近国内外的文章.一直想做个总结,拖着拖着,终究却写成这篇极不成功的总结. 背景建模或前景检测的算法主要有: 1. Single Gaussian (单高斯模型) Real-time tracking of the human body 2. 混合高斯模型(Mixture of Gaussian Model) An improved

UVM中factory机制的使用

UVM中的factory机制一般用在sequence的重载,尤其是virtual sequence.当Test_case变化时,通过virtual sequence的重载,可以很容易构建新的测试. 因为virtual可以控制选择sequence,sequence的启动,执行顺序等. 在build_phase阶段,不需要改变default_sequence,不需要改变别处代码. Transaction的重载,可以在不改变sequence,default_sequence的情况下,改变Transac

mongodb中的数据建模

一对一的关系:Embed,比如用户信息集合有Address字段,Address字段有省.市.县三个字段.建模如下: 一对多关系:一篇文章有多条评论,为1对多关系 由于MongoDB对单个文档(document)有大小限制16M(高于v1.8),设计时也要将这个限制纳入考虑中. 多对多关系:学生和课程是多对多的关系,一个学生可以选多门课程,一门课程有多名学生参与. 多对多使用了连接(Linking),连接是通过引用(References)来连接两个集合.MongoDB References有两种:

UVM中的sequence使用(一)

UVM中Driver,transaction,sequence,sequencer之间的关系. UVM将原来在Driver中的数据定义部分,单独拿出来成为Transaction,主要完成数据的randomize and constrained. 在Transaction之上有增加一层sequence,它可以调用,控制同一类型的transaction. 在UVM中增减component名叫sequencer,来留下与sequence的接口,并控制sequence的启动,定义与UVM环境中其他的co

数据仓库建设中的数据建模方法(转)

简介: 本文的主要内容不是介绍现有的比较流行的主要行业的一些数据模型,而是将笔者在数据仓库建设项目中的一些经验,在这里分享给大家.希望帮助大家在数据仓库项目建设中总结出一套能够合乎目前业界规范的,满足大部分行业数据仓库建设标准的一种方法. 所谓水无定势,兵无常法.不同的行业,有不同行业的特点,因此,从业务角度看,其相应的数据模型是千差万别的.目前业界较为主流的是数据仓库厂商主要是 IBM 和 NCR,这两家公司的除了能够提供较为强大的数据仓库平台之外,也有各自的针对某个行业的数据模型. 例如,在