使用Tcl脚本分配FPGA管脚

自动生成Tcl文件

Project -> Generate Tcl File for Project...

弹出如下对话框,设置脚本路径。

编辑引脚

使用set_location_assignment分配管脚如下:

第一次配制时,没有set_location_assignment语句,自已在set_global_assignment语句下一行添加即可。

执行Tcl脚本

Tools -> Tcl Scripts...

选中刚新建的Tcl文件,点击Run运行即可!

答疑解惑

1. 为什么Tcl中没有为每个引脚分配输入输出属性,也能保证引脚属性正确?

因为引脚输入输出属性都已经在Verilog语言的module中或原理图中设置了,如下图,

使用Tcl脚本分配FPGA管脚,布布扣,bubuko.com

时间: 2024-08-17 07:17:22

使用Tcl脚本分配FPGA管脚的相关文章

在Quartus中如何使用TCL脚本文件配制管脚

quartus软件分配管脚的方法有两种,一是选择菜单"assignments->pins"进入管脚分配视图手动分配:第二种方法是利用tcl脚本文件自动分配.这里我来介绍第二种方法. 1.生成tcl文件,按图例顺序操作 其中Tcl Script File name 是文件路径 2 找到"set_location_assignment"字段编辑管脚. 第一次配制时,没有set_location_assignment语句,自已在set_global_assignme

在Quartus使用TCL脚本文件配制管脚 《本人亲测》

方法一:Import Assignments步骤1: 使用记事本或类似软件新建一个txt文件(或csv文件),按如下格式编写管脚分配内容(不同的开发版,其内容也不同,本文以我使用的DIY_DE2开发板为范例).[这种方式格式最为简单] 注意:To和Location两个关键字中间有一个半角逗号.    图1 pin.txt 步骤2: 在QII软件中,选择"Assignments -> Import Assignments".如图所示,导入xxx.txt或者xxx.csv文件即可 图

(qsf文件 、 tcl文件 和 csv(txt)文件的区别) FPGA管脚分配文件保存、导入导出方法

来源: http://blog.sina.com.cn/s/blog_3ef1296d0101aob6.html 三.FPGA管脚分配文件保存方法 使用别人的工程时,有时找不到他的管脚文件,但可以把他已经绑定好的管脚保存下来,输出到文件里. 方法一: 查看引脚绑定情况,quartus -> assignment -> Pins,打开FPGA引脚界面,在这个界面的菜单中可以保存引脚文件为csv格式(表格形式)和tcl格式. 步骤:File -> Export… -> 选择保存名字和保

如何利用TCL文件给FPGA分配引脚

利用TCL文件来配置FPGA引脚十分方便,不仅可以配置引脚,还可以修改器件,配置示使用引脚为三态,时序约束等等,因此一般情况下我们都选择利用该法法来对FPGA的引脚进行配置,具体步骤如下: (1) 建立一个TCL文件,其实很简单,点击New选项,选项卡中选择TclScript File文件即可,也可以直接新建一个文件,然后将其后缀名改为tcl即可. (2) 编写tcl文件,下面举一个例子: #----------------------------------------------------

quartus2中FPGA管脚分配保存方法(转)

一.摘要 将Quartus II中FPGA管脚的分配及保存方法做一个汇总. 二.管脚分配方法 FPGA 的管脚分配,除了在QII软件中,选择“Assignments ->Pin”标签(或者点击按钮) ,打开Pin Planner,分配管脚外,还有以下2种方法. 方法一:Import Assignments 步骤1: 使用记事本或类似软件新建一个txt文件(或csv文件),按如下格式编写管脚分配内容(不同的开发版,其内容也不同,本文以我使用的DIY_DE2开发板为范例).[这种方式格式最为简单]

Modelsim中使用TCL脚本编写do文件实现自动化仿真

通常我们使用Modelsim进行仿真,是通过图形界面点点点来进行操作,殊不知Modelsim完美支持TCL脚本语言及批处理命令do文件.简单来说就是从你修改完代码后到你重新编译把需要的信号拉出来查看,现在只需要一个动作即可完成.大大提高了工作效率,博主之前也是点点点了好久,最后发现有这么好的仿真技巧,真是相见恨晚. 下面列举一些常见的TCL脚本命令 Run do文件的一些常用命令 #打开现有工程 project open C:/Users/jayash/Desktop/sim/ImageProc

tcl脚本环境搭建——windows下

? 以下内容整理自网络,试验可用 1.下载tcl解释器,并安装http://www.activestate.com/activetcl 2.tclsh85.exe运行bin/tclsh85.exe(wish85.exe:包含了tclsh 和 tk两部分) 3.创建一个简单的tcl脚本:E:/tcl/hello.tcl,输入内容如下:package require Tclpackage require Tkset a "hello world!"puts $a然后在tclsh85.exe

使用Tcl脚本调用STC平台的Native API实现测试对象、Device、StreamBlock的创建和配置

环境配置如下: 在Windows的Conmand下操作 # # Configuration file(CommonConFig.tcl) # # Initializing the Script. # This script is used to set common configuration paramters used with the STC-300 class. #Step 1: Load Spirent TestCenter Automation API. # Type in the

Modelsim仿真tcl脚本与wave.do文件

Modelsim的仿真,如果一直使用图形界面建工程,编译,仿真,一个个加入观察波形的话,未免复杂了一些,尤其是当工程较大,需要观察的信号较多时,下面贴出一些常用的tcl脚本命令和wave.do常用语法: vsim.tcl仿真脚本: vlib compress_lib vmap compress_lib compress_lib set verilog_file_list [ glob verilog/compress/*.v ] foreach i $verilog_file_list { vl