[转]AXI4总线简介

(一)AXI总线是什么?

AXI是ARM 1996年提出的微控制器总线家族AMBA中的一部分。AXI的第一个版本出现在AMBA3.0,发布于2003年。当前的最新的版本发布于2010年。

AXI4:主要面向高性能地址映射通信的需求;

AXI4-Lite:是一个简单地吞吐量地址映射性通信总线;

AXI4-Stream:面向高速流数据传输;

AXI4总线分为主、从两端,两者间可以连续的进行通信。

ISE从12.3版本,Virtex6,Spartan6芯片开始对AXI4总线提供支持,并且随着Xilinx与ARM的合作面逐渐展开而得到大力推广。

(二)AXI4的优势

1.通过统一的AXI接口,开发者为开发ip core只需要学习一种协议即可;

2.AXI4是面向地址映射的接口,允许最大256轮的数据突发传输;

3.AXI4-Lite是一个轻量级的地址映射单次传输接口,占用很少的逻辑单元;

4.AXI4-Stream去掉了地址项,允许无限制的数据突发传输规模;

NetFPGA10G采用了AXI4系列总线架构,而Xilinx从Virtex6,Spartan6才开始支持AXI4。因此斯坦福 的开发人员对在Virtex6上编译出的带有AXI4总线的ngc文件进行了修改加载到NetFPGA10G的ipcore中,使之骗过ISE,继续网表映射流程。

NetFPGA10G主要使用了其中的轻量级AXI4-lite(后文简写为axi)以及AXI4-stream(后文 简写为axis)两者。前者用于CPU与ip core之间的通信;后者用于各ip core之间进行高速数据传输。如果将整个构架分为控制层面与数据层面,则axi主要负责控制层面,axis主要负责数据层面。

本文后续内容主要对轻量级axi以及axis总线进行介绍,如需AXI4整体总线通信模式,请参看ARM AXI4 Specification,  Xilinx UG761 AXI Reference Guide.

(三)AXI4的工作模式

1.握手机制

AXI4所采用的是一种READY,VALID握手通信机制,即主从模块进行数据通信前,新根据操作对各所用到的数据、地址通道进行握手。主要操作包括传输发送者A等到传输接受者B的READY信号后,A将数据与VALID信号同时发送给B。如下图所示:

2.axi的工作模式:

axi总线分为五个通道:

  • 读地址通道,包含ARVALID, ARADDR, ARREADY信号;
  • 写地址通道,包含AWVALID,AWADDR, AWREADY信号;
  • 读数据通道,包含RVALID, RDATA, RREADY, RRESP信号;
  • 写数据通道,包含WVALID, WDATA,WSTRB, WREADY信号;
  • 写应答通道,包含BVALID, BRESP, BREADY信号;
  • 系统通道,包含:ACLK,ARESETN信号;

其中ACLK为axi总线时钟,ARESETN是axi总线复位信号,低电平有效;读写数据与读写地址类信号宽度都为32bit;READY与VALID是对应的通道握手信号;WSTRB信号为1的bit对应WDATA有效数据字节,WSTRB宽度是32bit/8=4bit;BRESP与RRESP分别为写回应信号,读回应信号,宽度都为2bit,‘h0代表成功,其他为错误。

A.读操作:

顺序为主与从进行读地址通道握手并传输地址内容,然后在读数据通道握手并传输所读内容以及读取操作的回应,时钟上升沿有效。如图所示:

B.写操作:

顺序为主与从进行写地址通道握手并传输地址内容,然后在写数据通道握手并传输所读内容,最后再写回应通道握手,并传输写回应数据,时钟上升沿有效。如图所示:

3.axis工作模式

axis分为:

  • tready信号:从告诉主做好传输准备;
  • tvalid信号:主告诉从数据传输有效;
  • tlast信号:主告诉从该次传输为突发传输结尾;
  • tdata信号:数据,可选宽度32,64,128,256bit
  • tstrb信号:为1的bit为对应tdata有效字节,宽度为tdata/8
  • tuser信号  :用户定义信号,宽度为128bit
  • aclk信号:总线时钟,上升沿有效;
  • aresetn信号:总线复位,低电平有效;

通信时序如图所示:

axi与axis是AXI4总线中通信复杂度较低的两条总线,最大开发难度存在于axi的控制平面向axis的数据平面下发参数时,由于axi与axis时钟频率不同而产生的跨时钟域数据传输问题。

原文地址:https://www.cnblogs.com/tubujia/p/9242805.html

时间: 2024-08-28 01:02:27

[转]AXI4总线简介的相关文章

1553B总线简介

1.1553B总线简介 MIL-STD-1553B总线是美国空军电子子系统联网的标准总线,是一种中央集权式的串行总线, 总线组成包括一个总线控制器,负责总线调度.管理,是总线通讯的发起者和组织者:若干(最多不超过31个)远程终端,另外还可以有一种设备即总线监视器,用于监视总线的运行.该总线采用指令应答方式实现系统通讯,采用冗余通道和奇校验以及相应的错误处理来提高系统通讯的可靠性.1553B 是总线接口规律和信号特性的标准,它在物理层上对硬件部件所产生的电信号特性作了严格的规定,在数据链路层和网络

EventBus 事件总线 简介 案例

简介 地址:https://github.com/greenrobot/EventBus EventBus是一款针对Android优化的发布/订阅事件总线.主要功能是替代Intent,Handler,BroadCast在Fragment,Activity,Service,线程之间传递消息. 优点是开销小,代码更优雅,以及将发送者和接收者解耦. 包含4个成分:发布者,订阅者,事件,总线. 这四者的关系:订阅者订阅事件到总线,发送者发布事件:订阅者可以订阅多个事件,发送者可以发布任何事件,发布者同时

AXI总线简介

AXI全称Advanced eXtensible Interface,是Xilinx从6系列的FPGA开始引入的一个接口协议,主要描述了主设备和从设备之间的数据传输方式.在ZYNQ中继续使用,版本是AXI4,所以我们经常会看到AXI4.0,ZYNQ内部设备都有AXI接口.其实AXI就是ARM公司提出的AMBA(Advanced Microcontroller Bus Architecture)的一个部分,是一种高性能.高带宽.低延迟的片内总线,也用来替代以前的AHB和APB总线.第一个版本的AX

[转]AMBA、AHB、APB、ASB总线简介

[转]http://www.cnblogs.com/zhaozhong1989/articles/3092140.html 1.前言 随着深亚微米工艺技术日益成熟,集成电路芯片的规模越来越大.数字IC从基于时序驱动的设计方法,发展到基于IP复用的设计方法,并在SOC设计中得到了广泛应用.在基于IP复用的SoC设计中,片上总线设计是最关键的问题.为此,业界出现了很多片上总线标准.其中,由ARM公司推出的AMBA片上总线受到了广大IP开发商和SoC系统集成者的青睐,已成为一种流行的工业标准片上结构.

ZYNQ随笔——AXI4总线

1. AXI4通道读地址通道(Read address channel, AR)写地址通道(Write address channel, AW)读数据通道(Read data channel, R)写数据通道(Write data channel, W)写响应通道(Write response channel, B)每个通道由一个信号构成,并且使用双向的VALID和READY握手信号机制.2. AXI4信号定义3. AXI4读写波形AXI4突发写波形,如下图所示.AXI4读突发波形,如下图所示.

SPI总线

一.SPI总线简介 串行外围设备接口SPI(serial peripheral interface)总线技术是Motorola公司推出的一种同步串行接口.SPI 用 于CPU与各种外围器件进行全双工.同步串行通讯.它只需四条线就可以完成MCU与各种外围器件的通讯,这四条线是:串行时钟线(CSK).主机输入/从 机输出数据线(MISO).主机输出/从机输入数据线(MOSI).低电平有效从机选择线CS.当SPI工作时,在移位寄存器中的数据逐位从输出引脚 (MOSI)输出(高位在前),同时从输入引脚(

一步一步学ZedBoard & Zynq(四):基于AXI Lite 总线的从设备IP设计 转载

文章来源 http://www.cnblogs.com/surpassal/archive/2012/10/09/Zynq_Lab4.html 本小节通过使用XPS中的定制IP向导(ipwiz),为已经存在的ARM PS 系统添加用户自定IP(Custom IP ),了解AXI Lite IP基本结构,并掌握AXI Lite IP的定制方法,为后续编写复杂AXI IP打下基础.同时本小节IP定制方法同样适用于MicroBlaze处理系统. 本小节定制的是简单LED的IP,只有一个数据寄存器,向其

基于CAN总线多视觉传感器测控系统的设计

1.引言 视觉检测技术是以机器视觉为基础的新型测试技术,因其具有测量非接触.速度快.信息量大.应用领域宽广等特点而受到欢迎.结构光传感器是应用较早.发展较成熟的视觉传感器,因此本系统采用结构光传感器来采集图像并经图像采集卡送给计算机处理.由于视觉传感器在视觉测量中担负着视频图像信号(测量数据)的采集.切换与传输.因此,如何让视觉传感器测控系统快速.精确地获得理想的视频图像信息,进而为后期的图像处理提供可靠的支持,亦即视觉传感器的测控系统的设计显得尤为重要. 2.视觉系统的概述 视觉检测系统中采集

将自己写的HDL代码封装成带AXI总线的IP

1.Tools->create and package IP 2.create AXI4总线的IP 3.新建block design 4.点击右键,选择edit in ip packager 此时生成了一个新的工程: 5.对生成的.v文件进行编辑 6.先修改顶层文件 添加一个LED输出端口 其实在文件的下面还可以添加自己的逻辑: 7.修改源文件 先添加端口,下面还有提示,编辑端口不要超过这条线.下面都是AXI总线的端口,不要去动它. 8.下一步操作 选择->Merge changes from