timequest 中set input delay set output delay 的使用

set_input_delay/ set_output_delay

  在与时钟选项定义的时钟相关的输入port上定义data arrival time,可将输入延时定义与上升沿或下降沿相关。

  如果输入延时与简单的生成时钟相关,到生成时钟的clock arrival time要加到data arrival time上。

  输入延时可以定义与时钟网络中的port相关,到参考port的clock arrival time要加到data arrival time上。

  输入延时可以包含时钟源延时,默认条件下,相关的时钟源延时加到输入延时上;但是,当定义-source_latency_included选项时,时钟源延时不要相加,因为它并没有用作为input delay value的时序因素。

max用在时钟建立时间或恢复(recovery)校验上,min用于时钟保持时钟或移除(removal)校验上。

  以上内容摘自Quartus help,基本定义edn上很多仁兄已经讲的很清楚了。

看下面简单的例子,两级触发器,来自

  http://www.altera.com.cn/support/examples/timequest/exm-timequest.html

很简单的例子,仅仅加时钟周期约束的条件下,

create_clock -period 10.000-name clk_in [get_ports {clk_in}]

用TimeQuest分析仅会得到一路path的分析,reg1 to reg2,时序图如下:

clock arrival time=latch edge+clock network delay to destination register

11.110=10+1.110

data required time =clock arrival time -u/tsu

11.125=11.110-(-0.015)

data arrival time=launch edge +clock network delay source register +u/tco+register-to-register delay

1.438=0+1.148+0.199+0.091

clock setup slack =data required time -data arrival time

9.687 = 11.125-1.438

set_input_delay -clock { clk_in } -add_delay 1.200 [get_ports {data_in}]

set_output_delay -clock { clk_in } -add_delay 2.000 [get_ports data_out]

重新运行TimeQuest,可以看到3个path分析

  1) data_in to reg1

2) reg1 to reg2

3) reg2 to data_out

 可以看到,输入路径在data arrival time上加上了input delay;输出路径在data required time上减去了output delay;分别表现为对setup和hold时间的影响。

timequest 中set input delay set output delay 的使用

时间: 2024-10-01 17:25:05

timequest 中set input delay set output delay 的使用的相关文章

FPGA静态时序分析——IO口时序(Input Delay /output Delay)(转载)

转载地址:http://www.cnblogs.com/linjie-swust/archive/2012/03/01/FPGA.html 1.1  概述 在高速系统中FPGA时序约束不止包括内部时钟约束,还应包括完整的IO时序约束和时序例外约束才能实现PCB板级的时序收敛.因此,FPGA时序约束中IO口时序约束也是一个重点.只有约束正确才能在高速情况下保证FPGA和外部器件通信正确. 1.2  FPGA整体概念 由于IO口时序约束分析是针对于电路板整个系统进行时序分析,所以FPGA需要作为一个

CX8812 车充方案(INPUT:DC 8V-32DC OUTPUT: 5V/3.1A DC)

CX8812  车充电源方案   QQ  2892715427 是一款高精度,高效率DC-DC 车载充电电源管理芯片 (INPUT:DC 8V-32DC OUTPUT: 5V/3.1A DC) 设计特征 1.DC 8V-32 V 输入 2,DC 5V/3.1A 输出 3.输出最大纹波≤100MV 4.空载功耗<0.8mA 5.平均效率≥88%(板对板测试) 6.符合EN55022 全球EMC 标注 7.带过流,过温及输入低压保护 8.带输出短路保护 9.带输出过压保护 测试条件:输入电压VIN

HTML中使用&lt;input&gt;添加的按钮打开一个链接

在HTML中,<form>表单的<input type="button">可以添加一个按钮.如果想让该按钮实现<a> 的超链接功能,需要如下实现: 在新的窗口中打开页面(target = blank):<input type="button" onclick="window.open('new.jsp')"> 在当前窗口中打开页面(target = self)  :  <input type=

IE中,多次弹出Pop页面,光标无法落入Pop页面中的Input,导致无法输入

之前用JQuery开发了一个弹出框的插件,可用于Pop页面,里面是用的Iframe.但是最近用IE测试发现,第一次弹出Pop页面可以正常使用,关闭Pop页面,再次打开后,页面中的input文本框无法落入光标,导致没办法输入.苦思许久,百度谷歌了许久,最后终于解决了. Chrome测试没有问题,IE有,那证明是IE的问题了.果然,是IE的问题.我们知道,关闭Pop页面其实就是从dom中把Pop这个Div移除.但是IE移除了Div,可Div里面的iframe还在Dom树上,当再次弹出Pop页面又会创

jQuery中的:input选择器

jQuery中的:input选择器 jQuery中的:input选择器包含input, textarea, select 和 button这些标签. <!DOCTYPE html> <html lang="en"> <head> <meta charset="UTF-8"> <title>Document</title> <style type="text/css"&g

jquery 中获取input指定name的标签对象

jquery 中获取input指定name的标签对象,用$("input[name^='?????']"); 这里name^='?????'中的 ^ 什么用? 表示name的值是以???开头的元素 比如$("input[name^='hi']")就会选择以下的元素<input type ="text" name ="hiworld" / > ^开头表示 name 以 ?????开头的 类似的还有$ 结尾* 包含!

DataList:HTML5中的input输入框自动提示宝器

DataList的作用是在你往input输入框里输入信息时,根据你敲进去的字母,自动显示一个提示下列列表,很像百度或谷歌的搜索框的自动提示,在飞机票火车票的搜索页面上也有这样的效果.它是HTML5里新增的一个非常有用的元素. DataList的表现很像是一个Select下拉列表,但它只是提示作用,并不限制用户在input输入框里输入什么. HTML5 Datalist的语法其实跟select下拉列表的语法几乎完全一样,非常的简单! <label for="country_name"

ubuntu中python input的中文输入问题

ubuntu中在terminal终端进行python操作时,对于input函数会出现中文输入的问题,调整为python3则变为正常, 对于常说的python2中的raw_input等价于python3中的input函数,目前在中文输入上还是不太一样

jquery中选择器input:hidden和input[type=hidden]的差别

jquery中选择器input:hidden和input[type=hidden]的差别 关于选择器:hidden的申明, 在jquery申明文档中是如许说的:匹配所有不成见元素,或者type为hidden的元素.而[type=hidden]是查找所有type属性便是hidden的元素.两者是有雷同之处和不合之处的. :hidden匹配所有不成见元素,或者type为hidden的元素,所有样式display便是none的元素和子元素以及type="hidden"的表单位素都在查找的成果