tcl相关

  1. 读取文件

    基本操作

set f [open e:/00 w]                 #用句柄f以写的方式打开文件e;/00 若文件不存在打开新文件
puts $f "nihao"                      #将内容nihao输出至句柄f
close $f                             #关闭句柄f

set f [open e:/00 r]                 #用句柄f以读的方式打开文件e;/00 若文件不存在将创建
while {[gets $f line] >= 0} {        #读取一行内容
puts $f   
}                                    #显示该项内容
close $f                             #关闭句柄f

set f [open e:/00 a]                 #用句柄f以追加的方式打开文件e;/00 若文件不存在将创建
puts $f "nihao"                      #将内容nihao输出至句柄f
close $f                             #关闭句柄f

http://blog.csdn.net/mvpme82/article/details/5405751    读,写,正则表达式

开头判断文件是否可以打开

set input_file  "c://input.txt"
set output_file "c://output.txt"

if {[catch {set file_in [open $input_file r]} err_msg]} {
 puts "Failed to open the file for reading: $err_msg"
 return
}

if {[catch {set file_out [open $output_file w]} err_msg]} {
 puts "Failed to open the file for writing: $err_msg"
 close $file_in
 return
}

逐行读取文件并加入列表

set f [open temp.csv r]

set listVar {}

while {[gets $f line] != -1} {

puts $line

lappend listVar $line

}

puts $listVar

set listVar1 [lindex $listVar 1]

puts $listVar1

时间: 2024-08-07 19:00:19

tcl相关的相关文章

BOA+PHP+SQLite之SQLite

三.SQLite 在ARM-Linux平台上移植SQLite (陈云川 200620603001 [email protected] 四川成都)摘要:本文首先对嵌入式数据库SQLite做了简单的介绍,对移植所采用的软硬件平台作了简单的说明.然后以SQLite3为蓝本对移植过程中的细节作了详细的说明,并对移植后的SQLite3数据库进行了测试.测试结果表明,本文所采取的移植方式是有效的.关键字:ARM-Linux.嵌入式.SQLite Port SQLite to ARM-Linux Platfo

tcl之文件操作-文件名相关

运用ITcl实现基于Tcl的板级自动化测试平台搭建(beta)

最近需要进行基于板级的FPGA测试工作,由于需要联合四块不同的板卡同时进行工作,而每块板卡的寄存器访问方式又各不相同,所以进行测试工作时操作比价繁琐.现在,前期手动测试工作基本完毕,下一步是开发自动测试脚本,这里主要一个需求就是,为了使得所有的case格式可以比较统一且简单清晰,同时能够有详细的错误记录,需要在这个板级的自动测试环境上下点功夫.经过几天的努力和尝试,这个脚本环境基本上有了一个大概的框架. 由于设备相关,fpga的测试工作不能像使用开发板那样方便(jtag访问),必须通过设备软件系

Tcl与Design Compiler (三)——DC综合的流程

本文属于原创手打(有参考文献),如果有错,欢迎留言更正:此外,转载请标明出处 http://www.cnblogs.com/IClearner/  ,作者:IC_learner 1.基本流程概述 首先给三个图,一个图是高层次设计的流程图: 下面是我对这张图的理解: ① 设计之前,准备好库.HDL代码的思想.约束生成:然后根据设计思想用 RTL 源码详细地.完整地为设计建立模型.定义设计中寄存器结构和数目.定义设计中的组合电路功能.定义设计中寄存器时钟等等的设计规格和实现. ② 完成 RTL 源码

88.modelsim仿真do文件相关技巧

网上的关于DO文件的编写好像资料不多,比较杂,所以本人总结一下常用的简单语法,方便大家查看.其实本人也刚接触DO文件没多久,有纰漏很正常,欢迎指正批评,互相学习.PS:写得有点乱   还有一个值得注意的是 我在看到这篇文章的时候我正在仿真一个verilog文件,文件中调用了一个ROM , 但是我怎么仿真 rom的输出文件都有问题, 经过一个QQ好友的指点,我发现竟然是我 QUARTUS 下考过来的库文件 有问题, 自此提醒遇到过此问题 但还没有解决的同学.. 一.DO文件的简介和工作方式 DO文

oracle相关的知识

01.表空间的创建与删除 Spool 目录  (把sql语句都记录在txt文件中)spool  e:\xxx.txtSpool off 结束 SQL> --清除屏幕信息SQL> clear screen SQL> --查看表空间SQL> select * from v$tablespace; SQL> --设置sql语句显示的长度SQL> set linesize 500;SQL> select * from v$tablespace; SQL> --创建表

Tcl学习之--语法|变量

[语法|变量] l 脚本.命令和单词 Tcl提供了大约100条命令,Tk提供了另外几十条,脚本包含一条或多条,通过换行符或分号分隔开. set a 12 set b 23 也可以写成一行: set a 12; set b 23 每个命令由多个单词组成,以空格来分隔,首字母为命令名,所以: 1. set -> 单词,同时也是命令名 2. a    -> 单词 3. 12  -> 单词,将该值赋予a l 处理命令 Tcl处理命令分两步: 解析和执行 1)  在解析阶段,Tcl进行一系列简单字

赵伟国辞去TCL集团董事等职位,紫光参与TCL定增浮盈已超7亿

集微网消息,TCL 集团于8月9日晚间发布公告称,公司董事会于近日收到董事赵伟国先生的书面辞职报告,赵伟国先生因个人原因申请辞去公司董事及公司战略委员会委员职务.辞任后,赵伟国先生不再担任公司任何职务. 根据TCL集团发布的2017半年报显示,目前北京紫光通讯科技集团有限公司是TCL 集团第四大股东,占后者3.97%的股份.据悉,2015年初,TCL 集团非公开发行27.3亿股,募集资金总额57亿元.其中紫光通信.国开创新.国开精诚.国开装备.中信资本(天津).天津诚柏等产业投资者参与定增.当时

如何利用TCL文件给FPGA分配引脚

利用TCL文件来配置FPGA引脚十分方便,不仅可以配置引脚,还可以修改器件,配置示使用引脚为三态,时序约束等等,因此一般情况下我们都选择利用该法法来对FPGA的引脚进行配置,具体步骤如下: (1) 建立一个TCL文件,其实很简单,点击New选项,选项卡中选择TclScript File文件即可,也可以直接新建一个文件,然后将其后缀名改为tcl即可. (2) 编写tcl文件,下面举一个例子: #----------------------------------------------------