VCS仿真流程

去中兴面试的时候被问到vcs 的使用方式,现在整理一下。

1. three-step flow

第一步:analysis——vlogan、vhdlan

  在analysis phase中VCS会检查文件的语法错误,并将文件生成elaboration phase需要的中间文件,将这些中间文件保存在默认的library中(也可以用-work指定要保存的library)。

  1. analyzing VHDL files

% vhdlan [vhdlan_options] file1.vhd file2.vhd

  2. analyzing verilog files

% vlogan [vlogan_options] file1.v file2.v

  3. analyzing system verilog files

% vlogan -sverilog [vlogan_options] file1.sv file2.sv

  这个也可以仿真verilog 文件

  4. analyzing open vera files

% vlogan -ntb [vlogan_options] file1.vr file2.vr file3.v

  

-ntb   :     Enables the use of the OpenVera testbench language constructs described in the OpenVera Language Reference Manual: Native
Testbench.

  如果是vera 文件,好像在vcs中加-vera选项也可以仿真,

  vlogan有一些常用的选项,比如-sverilog,-l,-f,-full64,-timescale,-y,+define+macro,+libext+extension等等选项。

第二步:elaboration

   In this phase, using the intermediate files generated during analysis, VCS MX builds the instance hierarchy and generates a binary executable simv. 

  

  可以用optimized mode或者debug mode来elaborate design(也就是compile)。debug mode也叫interactive mode,顾名思义可以调试排查设计中的问题,但是比较消耗时间。optimized mode也叫batch mode(批处理模式),带来最优的编译和运行时间,一般用来run regression。synopsys建议在设计完全正确之前用full_debug或者particial_debug,当设计没问题了用optimized mode。

% vcs [elab_options] [libname.]design_unit

  libname:是analysis phase中-work选项指定的library,如果没有指定就用默认的(定义在synopsys_sim.setup中)。

  design_unit:可以是verilog的top module(vhdl另论)。

  常用的elaboration option: -full64 ,-file filename,-gui,-R,-l,-f,-debug,-debug_all。

第三步:simulation

运行elaboration phase生成的二进制文件simv来运行仿真。

Based on how you elaborate the design, you can run your simulation the following ways:

  • Interactive mode(用了debug或者debug_all选项)

  • Batch mode

在interactive mode中, To debug using a GUI, you can use the Discovery Visualization Environment (DVE), and to debug through the command-line interface, you can use the Unified Command-line Interface (UCLI).

仿真之后可以在dve中查看生成的波形文件(当然需要自己制定要生成波形$vcdpluson、+vcs+vcdpluson等方式)。

在batch mode中,不要加debug选项。

./simv #直接运行simv

  

2. two-step flow

两步走的方法只在verilog和system verilog有用,也就是说VHDL文件一定要用three-step flow。

这是常用的方式,先vcs再simv。

3. one-step flow

这其实就是加了-R选项的two-step flow方式。

原文地址:https://www.cnblogs.com/east1203/p/11568460.html

时间: 2024-11-01 10:12:41

VCS仿真流程的相关文章

VCS仿真生成fsdb文件

VCS仿真生成fsdb文件(Verilog) 一.环境 Linux 平台 VCS 64bit Verdi3 二.开始仿真 1. 联合仿真环境配置 a.在testbench中加入如下语句: 1 initial begin 2 $fsdbDumpfile("tb.fsdb"); 3 $fsdbDumpvars; 4 end b.注意verdi接口库的路径(脚本中体现) 2.仿真脚本 1 #!/bin/csh -f 2 3 setenv NOVAS_HOME /user/EDA_Tools/

基于modelsim-SE的简单仿真流程—上

基于modelsim-SE的简单仿真流程 编写RTL功能代码 要进行功能仿真,首先得用需要仿真的模块,也就是RTL功能代码,简称待测试的模块,该模块也就是在设计下载到FPGA的电路.一个电路模块想要有输出,就得有输出,数字电路也是一样的,时钟和复位信号是必不可少的测试激励信号之一,同时可能还包括控制信号.数据信号.这里总结一下,一般系统中包括的测试激励信号主要为四大类: 系统信号(时钟.时钟使能信号.复位信号等): 控制信号(使能信号.片选信号.握手信号,状态机控制信号等): 数据信号(并行接口

基于modelsim-SE的简单仿真流程—下

基于modelsim-SE的简单仿真流程—下 编译 在 WorkSpace 窗口的 counter_tst.v上点击右键,如果选择Compile selected 则编译选中的文件,Compile All是编译所有文件,这里选择 Compile->Compile All,如下图所示: 在脚本窗口中将出现绿色字体: # Compile of counter.v was successful. # Compile of counter_tst.v was successful.,说明文件编译成功,在

调用altera IP核的仿真流程—上

调用altera IP核的仿真流程—上 在学习本节内容之后,请详细阅读<基于modelsim-SE的简单仿真流程>,因为本节是基于<基于modelsim-SE的简单仿真流程>的基础上进行设计的,关于设计仿真流程的过程所涉及到的重复内容将不再详述,将会一笔带过,如果深入学习了<基于modelsim-SE的简单仿真流程>这一小节,则下面的内容将会非常的简单. 编写RTL功能代码 本小节通过调用altera的ROM宏功能模块,FPGA的ROM模块主要用于存储数据,可以在上电的

基于modelsim-SE的专业进阶仿真流程

基于modelsim-SE的专业进阶仿真流程 通过<基于modelsim-SE的简单仿真流程>和<调用altera IP核的仿真流程>是否感受到仿真流程中的繁琐步骤,特别是在modelsim创建工程之后的步骤:编译.添加仿真信号.开始仿真.这三个步骤涉及到一大堆的鼠标操作,涉及到一大堆的窗口操作,由于RTL仿真过程是一个反复迭代的过程,同时要求覆盖到100%的功能测试,由此也要覆盖到所有状态机和条件判断的所有情况,由此,RTL的功能仿真功能需要不断的修改RTL代码,每次修改RTL代

VCS仿真生成VPD文件

VCS仿真生成VPD文件 一.环境与文件 Linux平台 VCS 64bit 代码文件请参考<一个简单的Verilog计数器模型> 二.开始仿真 1.compiler vcs -full64 -f file.f -debug_pp +vcd+vcdpluson 参数解释 file.f 是你的设计文件索引 +vcd+vcdpluson产生Synopsys的波形文件之一vpd格式的波形文件 2.simulate ./simv 生成 名为vcdpluson.vpd的文件 可以使用使用dve查看波形

【NS-3学习】ns-3模拟基础:目录结构,模块,仿真流程

前言 ns-3是一个离散事件驱动网络模拟器,旨在满足学术研究和教学对网络仿真模拟的需求.ns-3项目是一个始于2006年的开源项目,负责开发ns3软件. ns-3并不是ns-2的扩展,而是一个全新的模拟器.ns-3的官网:http://www.nsnam.org/ 下面介绍 ns-3的软件目录 .现有模块和ns-3的仿真流程. ns-3的目录结构 AUTHORS examples src utils.pyc wutils.py bindings LICENSE test.py VERSION w

ISE 设计、综合及仿真流程

终于指导完全国电设回家了,在家两星期时间里,除了把美食都重新过一遍外,计划做两件事,其中一件事就是学会Xilinx ISE软件.因为实验室都用的Xilinx的fpga芯片,因此要做好准备先学软件操作流程,现记下. 我安装了12.4版本,首先设计: 1.文件-->新建工程,命工程名,注意这个工程名就是文件夹的名字,然后next.选芯片.封装.速度.综合工具.仿真工具.语言等. 2.新建了工程后在工程管理器右键,new source添加文件.如果是verilog设计就选verilog module,

ModelSim 仿真流程简要

1.    ModelSim简介 ModelSim分几种不同的版本:SE.PE和OEM,其中集成在 Actel(爱特公司).Altera (阿尔特拉).Xilinx(赛灵思)以及Lattice(莱迪思)等FPGA厂商设计工具中的均是其OEM版本,SE版本为最高级版本.ModelSim仿真分为功能仿真,门级仿真,时序仿真 ◆  功能仿真(前仿真,代码仿真) 主旨在于验证电路的功能是否符合设计要求,其特点是不考虑电路门延迟与线延迟,主要是验证电路与理想情况是否一致.可综合FPGA代码是用RTL级代码