Debussy VerilogVHDL ISE仿真平台搭建步骤

一、
Xinlinx编译库+Modelsim+Debussy版本
1.安装modelsim10.1c 32bit版本(注意64bit与Debussy不兼容)
2.安装Debussy54v9-NT
2.1设置path路径 D:\Novas\Debussy\bin
3.解压编译后的xinlinx库
Modelsim_xilinx_libs__modeltech_10.1c.rar 到..\modeltech_10.1c文件夹下
DebussyXilinxLib__etc-kdb-vhdl-32.rar 到..\Debussy\etc\kdb\vhdl\32文件夹下
3.1 在modelsim中配置xinlinx库环境
在..\modeltech_10.1c\modelsim.ini文件如下位置,添加已编译好的库。(去掉文件只读属性,路径替换为你自己的路径)
[Library]
std = $MODEL_TECH/../std
ieee = $MODEL_TECH/../ieee
verilog = $MODEL_TECH/../verilog
vital2000 = $MODEL_TECH/../vital2000

std_developerskit = $MODEL_TECH/../std_developerskit
synopsys = $MODEL_TECH/../synopsys
modelsim_lib = $MODEL_TECH/../modelsim_lib
sv_std = $MODEL_TECH/../sv_std
mtiAvm = $MODEL_TECH/../avm
mtiOvm = $MODEL_TECH/../ovm-2.0.1
mtiUPF = $MODEL_TECH/../upf_lib
mtiPA = $MODEL_TECH/../pa_lib

;//add
UNISIMS_VER = D:\modeltech_10.1c\xilinx_libs\unisims_ver
SIMPRIMS_VER =D:\modeltech_10.1c\xilinx_libs\simprims_ver
XILINXCORELIB_VER = D:\modeltech_10.1c\xilinx_libs\xilinxcorelib_ver
CPLD_VER = D:\modeltech_10.1c\xilinx_libs\cpld_ver
UNI9000_VER = D:\modeltech_10.1c\xilinx_libs\uni9000_ver
UNISIM = D:\modeltech_10.1c\xilinx_libs\unisim
SIMPRIM = D:\modeltech_10.1c\xilinx_libs\simprim
XILINXCORELIB = D:\modeltech_10.1c\xilinx_libs\xilinxcorelib
CPLD = D:\modeltech_10.1c\xilinx_libs\cpld
EDK =D:\modeltech_10.1c\xilinx_libs\edk
SECUREIP=D:\modeltech_10.1c\xilinx_libs\secureip
UNIMACRO=D:\modeltech_10.1c\xilinx_libs\unimacro
UNIMACRO_VER=D:\modeltech_10.1c\xilinx_libs\unimacro_ver

前面几行是其中本来有的,后面的几行是我自己手动加进去的

3.2 在modelsim中配置Debussy环境
1)将..\Debussy\share\PLI\modelsim_fli54\WINNT\novas_fli.dll复制到..\modeltech_10.1c\win32\
(fli支持Verilog and VHDL,pli仅支持Verilog;54表示modelsim至少5.4版本,
详见debussy doc, linking.pdf P24)
修改..\modeltech_10.1c\modelsim.ini,将Veriuser部分修改成如下所示:
; List of dynamically loaded objects for Verilog PLI applications
; Veriuser = veriuser.sl

;//add
; use by verilog
;Veriuser = novas.dll
; use by vhdl and verilog
Veriuser = novas_fli.dll
添加完后把文件只读属性加上。
复制..\Debussy\share\PLI\modelsim_fli54\WINNT\novas.vhd到自己的project下

2)Testbench中加入(for VHDL)
use work.pkg.all;
同样加入
process begin
fsdbDumpfile("counter.fsdb");
fsdbDumpvars(0, "counter_tb");
wait;
end process;

3)ModelSim 编译脚本中加入
vcom -explicit -93 novas.vhd
若遇到编译# ** Warning: (vsim-FLI-3159) Failed to find foreign function ‘fliparseVariableInFile‘ in FLI object file "$Modelsim10.0a\win32/./novas_fli.dll".
可忽略
4)编译仿真命令为
vlog "../rom_test.v"
vcom -explicit -93 ./test.vhd
vsim -c -voptargs="+acc" -t 1ps -L XilinxCoreLib -lib work work.tb_top -pli novas_fli.dll
-L 表示调用编译好的库,详见 vsim -help

3.3 Debussy配置Xinlinx库环境
修改..\Novas\Debussy\etc\novas.rc,以便启动Debussy时自动装载这些库文件。
打开..\Novas\Debussy\etc\novas.rc搜索:
357 [VHDL_libraries]
358 work = ./work
在work = ./work后加入(路径改为你自己的路径,注意反斜杠"/")
unisim = D:/Novas/Debussy/etc/kdb/vhdl/32/unisim.lib++
XilinxCoreLib = D:/Novas/Debussy/etc/kdb/vhdl/32/XilinxCoreLib.lib++

4.环境测试工程"polyphase_two clk.rar",工程里的 novas.rc,novas.vhd 文件要替换为你修改后的文件,
运行里面脚本compile.bat,compile_debussy.bat,run.bat,view_debussy.bat(建议先删除里面编译生成的文件,再编译
编译生成的文件:Debussy.exeLog vericom.exeLog vhdlcom.exeLog work work.lib++)
5.若搭建未正确,首先检查环境变量是否设置好。
6.后续文件比较推荐工具"Araxis_Merge2014"

7.1.若要与zstudio同时使用,先将日期提前
比如提前一年,禁用系统时间联网自动更新(20150101->20140101)
计算机 右键->管理->服务->Windows Time,选择禁用

若之前已经安装过modelsim或debussy,并且你在20140101之后使用过modelsim,哈哈,那你想和zstudio同时使用就很难了。
个人尝试:
我卸载了modelsim,重启电脑,再次安装,始终报license错误,后面我在注册表里面看见 有modelsim项目文件的路径,
也就是说modelsim启动时会检测他生成文件的日期,若有文件日期晚于此时启动时间,就会报license错误(猜测)
7.2 或者装本文件夹Zstudio-LIC-crack,详见里面的readme.txt

8.常见问题:
1)生成的xilinx IP若提示 Error: ../rom_test.v(176): Module ‘BLK_MEM_GEN_V7_3‘ is not defined.
确认仿真脚本中有 -L XilinxCoreLib
2)使用VHDL生成的ise IP rom仿真模型,部分波形显示不全(或者有错误)。

二、
Xinlinx+Modelsim+Debussy版本
1.安装Xilinx ISE14.4(时间较长)
2.安装modelsim10.1c 32bit版本(注意64bit与Debussy不兼容)
3.安装Debussy54v9-NT
3.1设置path路径 C:\Novas\Debussy\bin
4.编译xinlinx库(for modelsim),使用ISE编译,
详见“关于xilinx ise10.1与modelsim仿真库编译.txt"方法一
若遇到问题,可参考
”Xilinx ISE 10.x 调用Modelsim SE 6.5仿真的若干问题及其解决方法“
5.编译xinlinx库(for Debussy),使用Debussy编译,
编译步骤详见"Modelsim+Debussy?for?VHDL_bienvenue_新浪博客",
编译脚本详见"debussy_compile_xilinxLib.bat",
编译遇到问题,可参考
"Debussy学习笔记-fliparseVariableInFile问题",
"modelsim10.c无法载入debussy的novas_fli.dll"。
“定义的flipaseVariableInFile在novas_fil.dll找不到”这个warning可以忽略。
6.环境测试工程"polyphase_two clk.rar",工程里的novas.rc,novas.vhd文件要替换为你修改后的文件,
运行里面脚本compile.bat,compile_debussy.bat,run.bat,view_debussy.bat(建议先删除里面编译生成的文件,再编译)
7.若搭建未正确,首先检查环境变量是否设置好。
8.后续文件比较推荐工具"Araxis_Merge2014"

时间: 2024-08-10 21:22:47

Debussy VerilogVHDL ISE仿真平台搭建步骤的相关文章

基于OneMap的水利行业共享服务平台搭建步骤

今天上午再次学习Esri技术培训中心的“GIS服务共享与运维管理——之OneMap解决方案”课程,从中学习了OneMap的产品架构以及基于OneMap共享服务平台的搭建步骤.下面把其中水利行业的共享服务平台的搭建主要步骤整理如下: 搭建水利共享服务平台主要为水利行业各个不同业务领域.不同业务部门共同使用, 主要的搭建步骤有, 一.平台数据资源组织 (1)建立数据中心,汇集之前“各自为政”的各个业务系统的业务数据.建设数据模型和数据仓库,对汇集好的所有数据进行统一的管理.通过行业共享服务平台,将收

Jmeter3.1、Ant、Jenkins接口自动化平台搭建步骤;所遇问题及解决方案

Win下Jenkins+Jmeter+Ant自动化集成环境搭建 环境:win10 涉及:Jdk1.7.0_80.Jenkins2.60.3.Jmeter3.1.Ant1.9.9 步骤: 1.     Java下载.安装.配置环境变量.验证 l  下载:http://www.oracle.com/technetwork/java/javase/downloads/java-archive-downloads-javase7-521261.html (win64) l  安装:默认 l  配置:JA

slowlog分析anemometer平台搭建

slowlog监控服务器的anemometer配置 slowlog监控平台搭建步骤: 一.安装percona-toolkit-2.2.14 二.准备相关php模块 yum -y install php-common php-bcmath php-mysql php-dba php-cli php-gd php php-pdo vim /etc/php.ini ----- date.timezone = Asia/Shanghai ----- 三.下载并配置Anemometer https://g

Ubuntu14.04下搭建Bochs仿真平台,同时用该平台安装Linux0.11内核

因为Linux0.11内核需要在80X86硬件平台上运行,现在已经没有该硬件系统了,所以需要搭建Bochs这个仿真平台.Bochs是一个X86硬件平台的开源模拟器. 安装步骤参考的是如下一篇文章:http://os.51cto.com/art/201407/446838_all.htm,非常详细,出现的错误对应的解决方法都已经给出. 根据上面的文章进行操作,也是出现了一点问题,现把问题整理如下:①错误D的解决方法写的比较简单,而且少写了"-",后来找了另一篇文章才知道具体操作,网址为:

Modelsim的自动化脚本仿真平台

自动化仿真平台由tcl语言搭建,大规模设计使用此平台让仿真便捷不少.大体上用tcl语言进行modelsim仿真的流程如下: 1. 建立库 2. 映射库到物理目录 3. 编译源代码 4. 启动仿真器 5. 执行仿真 tcl语言的语法 vlib :建立库.格式 vlib<library name> .库名缺省值是work vmap:映射逻辑库名,将逻辑库名映射到库路径.语法格式vmap work <library name> vdir:显示指定库内容.语法格式vdir –lib <

Jenkins Gitlab持续集成打包平台搭建

相关概念 Jenkins Jenkins,一个用Java编写的开源的持续集成工具,提供了软件开发的持续集成服务,可监控并触发持续重复的工作,具有开源,支持多平台和插件扩展,安装简单,界面化管理等特点.更多介绍参考[维基](https://en.wikipedia.org/wiki/Jenkins_(software)介绍. Gitlab GitLab是一个利用Ruby on Rails开发的开源应用程序,实现一个自托管的Git项目仓库,可通过Web界面进行访问公开的或者私人项目,更多介绍参考维基

Openstack平台搭建之第三天

Openstack平台搭建之第三天 Author :xxbAndy If you have any question ,please contact me by [email protected] or 371990778(qq) 注意:主控节点为server10.example.com: 新增nova节点为desktop10.example.com 在实验环境中已经对各个主机做了DNS解析 1.管理neutron节点服务,为nova-compute节点进行配置网络服务 [[email prot

微信公众平台搭建与开发(二)开发模式的搭建和关键词回复

在第一部分介绍了编辑模式,但是编辑模式有较大局限性,下面主要开始介绍开发模式,这一部门先简单介绍下开发模式的环境搭建和关键词回复. 开发模式首先要有一个虚拟主机,本人使用的是新浪开发者平台的虚拟主机,使用云豆计算流量,若成为新浪开发者用户基本上就可以免费使用了,本人注册用户所赠送的云豆不知道能用多久.当然国内比较大还有就是百度开发者平台,注册后发现部署还没有新浪的方便,并且在BAE3.0以后好像也是要收费的.有兴趣的朋友可以研究下google的开发者平台,不知道是否要收费. 在注册新浪开发者平台

源代码实现LAMP的平台搭建

1.LAMP简介 Linux+Apache+Mysql/MariaDB+Perl/PHP/Python一组常用来搭建动态网站或者服务器的开源软件,本身都是各自独立的程序,但是因为常被放在一起使用,拥有了越来越高的兼容度,共同组成了一个强大的Web应用程序平台.随着开源潮流的蓬勃发展,开放源代码的LAMP已经与J2EE和.Net商业软件形成三足鼎立之势,并且该软件开发的项目在软件方面的投资成本较低,因此受到整个IT界的关注.从网站的流量上来说,70%以上的访问流量是LAMP来提供的,LAMP是最强