87.在ModelSim中添加Xilinx ISE仿真库

在ModelSim中添加Xilinx ISE仿真库

说明:

l ModelSim一定要安装在不带空格的目录下,即不要安装在“Program Files”目录下。如作者是安装在D:\softwares\Modelsim目录下。

l ISE软件也最好安装在不带空格的目录下。

1、找到开始菜单->程序->Xilinx ISE Design Suite 11 -> ISE -> Accessories -> Simulation Library Compilation Wizard.

2、选定ModelSim的版本,以及指定ModelSim的安装路径。

3、选择Both VHDL and Verilog。

4、选择支持哪些系列的芯片,看自己需要增减。

5、默认选全上即可。

6、指定编译完后的库存放位置,这里作者在modelsim安装目录下新建了xilinx_lib的文件夹,并指定到这里。(注意不要指向带空格的路径)

7、运行 Lauch Compile Process,即开始编译库文件,需要几十分钟。编译完成后应该在xilinx_lib目录下多出如下文件夹:

8、右键打开modelsim目录下的modelsim.ini文件,先将其“只读”属性去掉。然后用记事本打开。在[Library]下面添加如下代码,即之前编译好的Xilinx库的路径。

unimacro = D:/softwares/modelsim/xilinx_lib/unimacro

unimacro_ver = D:/softwares/modelsim/xilinx_lib/unimacro_ver

unisim = D:/softwares/modelsim/xilinx_lib/unisim

unisims_ver = D:/softwares/modelsim/xilinx_lib/unisims_ver

secureip = D:\softwares\modelsim\xilinx_lib/secureip

xilinxcorelib = D:/softwares/modelsim/xilinx_lib/xilinxcorelib

xilinxcorelib_ver = D:/softwares/modelsim/xilinx_lib/xilinxcorelib_ver

simprim = D:/softwares/modelsim/xilinx_lib/simprim

simprims_ver = D:/softwares/modelsim/xilinx_lib/simprims_ver

9、再次打开ModelSim,即可以看到Xilinx的库已经默认出现在了库列表里。以后仿真Xilinx的IP核时,就不用每次都添加库了。

时间: 2024-08-04 07:37:27

87.在ModelSim中添加Xilinx ISE仿真库的相关文章

在ModelSim中添加Xilinx仿真库

在ModelSim中添加Xilinx仿真库[转] 说明: ModelSim一定要安装在不带空格的目录下,即不要安装在“Program Files”目录下.如作者是安装在D:\softwares\Modelsim目录下. ISE软件也最好安装在不带空格的目录下. 1.找到开始菜单->程序->Xilinx ISE Design Suite 11 -> ISE -> Accessories -> Simulation Library Compilation Wizard. 2.选定

向modesim中添加alter库 (或者在每次仿真时将库文件加入仿真文件夹一起编译)

在ModelSim中进行仿真需要加入Quartus提供的仿真库,原因是下面三个方面:    ·Quartus不支持Testbench:    ·调用了megafunction或者lpm库之类的Altera的函数:    ·时序仿真要在Modelsim下做仿真.    下面以Altera器件为例,介绍如何在ModelSim中加入Altera的仿真库,Quartus II软件中自带有Altera的仿真库,只要把它拿到ModelSim中去编译一下就可以了,具体步骤如下:1.设置仿真库路径    打开M

如何用ModelSim对Xilinx ISE产生的网表进行仿真

图: 在对设计的芯片进行测试时,经常要用到FPGA,可是里面的仿真工具却不如Modelsim那么好用,且在规模比较大时,ISE在仿真时,软件经常会报告内存限制的问题,此时一般会切换到Modelsim软件中去做仿真,这样便不会出现内存限制的问题,且仿真器也更加好用. 下面以综合后仿真为例,讲一下如何用ModelSim对Xilinx ISE综合后产生的网表进行仿真. 在用Xilinx ISE综合后,如果想用Modelsim对它综合后产生的网表进行综合后仿真,总共需要3个*.v文件.一个是testbe

v3学院教你编译xilinx仿真库

1.安win->所有程序->键找到xilinx design tools –>ise design tools 里找到Simulation Library Compilation Wizard,如果modelsim 是64位就要选择64位文件夹下的,32位选择32位文件夹下的 2.找到modelsim 的安装目录把modelsim.ini 的只读属性去掉 3.Ise 仿真库编译工具,选择modelsim se 4.设置modelsim 仿真工具启动工具路径 5.语言选择 Verilog

在Android应用中添加React Native支持

用android studio创建一个基本的android hello world程序 在项目根目录中通过npm向导生成package.json文件,在cmd中输入命令:npm init 在package.json文件中添加启动脚本"start": "node node_modules/react-native/local-cli/cli.js start" 添加react-native npm依赖,在命令行输入npm install react react-nat

Android.mk添加本地程序和库的经常使用模版

Android.mk添加本地程序和库的经常使用模版 Android中添加本地程序或者库.这些程序和库与其所在路径没有关系.仅仅与它们的配置文件Android.mk有关.Android.mk文件里可以主要包括一些系统公共的宏.在一个Android.mk中可以生成多个可运行程序.动态库或者静态库. A,编译C/C++应用程序的模板 #Demo Exe LOCAL_PATH := $(call my-dir) #include $(CLEAR_VARS) LOCAL_SRC_FILES:= main.

Xilinx ISE如何调用Modelsim进行联合仿真

图: 在对设计的芯片进行测试时,经常要用到FPGA,可是里面的仿真工具却不如Modelsim那么好用,且在规模比较大时,ISE在仿真时,软件经常会报告内存限制的问题,此时一般会切换到Modelsim软件中去做仿真,这样便不会出现内存限制的问题,且仿真器也更加好用.这里介绍一下Xilinx ISE如何调用Modelsim进行联合仿真. 首先需要用Xilinx ISE里面的工具Simulation Library Compilation Wizard来产生仿真库文件.开始--所有程序--Xilinx

关于xilinx ise10.1与modelsim仿真库编译

关于xilinx ise10.1与modelsim仿真库编译(2011-08-21 01:00:39)转载▼标签:杂谈 分类: FPGA 首先介绍一下Xilinx几个主要的仿真库(路径:D:\Xilinx\11.1\ISE\verilog\src\) 1. Unsim文件夹:Library of Unified component simulation models.仅用来做功能仿真,包括了Xilinx公司全部的标准元件.每个元件使用一个独立的文件,这样是为了方便一些特殊的编译向导指令,如`us

Modelsim中使用TCL脚本编写do文件实现自动化仿真

通常我们使用Modelsim进行仿真,是通过图形界面点点点来进行操作,殊不知Modelsim完美支持TCL脚本语言及批处理命令do文件.简单来说就是从你修改完代码后到你重新编译把需要的信号拉出来查看,现在只需要一个动作即可完成.大大提高了工作效率,博主之前也是点点点了好久,最后发现有这么好的仿真技巧,真是相见恨晚. 下面列举一些常见的TCL脚本命令 Run do文件的一些常用命令 #打开现有工程 project open C:/Users/jayash/Desktop/sim/ImageProc