VCS仿真命令

1,建立文件vcs_run_cmd,里面的内容如下:

vcs +v2k -v2005 -debug_access+all -lca -sverilog -f filelist -l vcs_comp.log

#below for command line run mode

simv -ucli -do wave.do+fsdbfile+pos_det.fsdb

#below for gui run mode

./simv -gui

#below for open verdi

verdi -f filelist -sv -2005 +systemverilog &

注:

1,开verdi的时候,如果不加后面的 -sv -2005 +systemverilog,则很多systemverilog的语法verdi是不认的,verdi默认是加载verilog的语法。

2,filelist里可以将设计代码文件和testbench代码文件全部包含进来。

2,wave.do里面的内容如下:

fsdbDumpvars 0 TBplatform

run100us

exit

时间: 2024-11-08 13:22:16

VCS仿真命令的相关文章

VCS仿真生成fsdb文件

VCS仿真生成fsdb文件(Verilog) 一.环境 Linux 平台 VCS 64bit Verdi3 二.开始仿真 1. 联合仿真环境配置 a.在testbench中加入如下语句: 1 initial begin 2 $fsdbDumpfile("tb.fsdb"); 3 $fsdbDumpvars; 4 end b.注意verdi接口库的路径(脚本中体现) 2.仿真脚本 1 #!/bin/csh -f 2 3 setenv NOVAS_HOME /user/EDA_Tools/

VCS仿真生成VPD文件

VCS仿真生成VPD文件 一.环境与文件 Linux平台 VCS 64bit 代码文件请参考<一个简单的Verilog计数器模型> 二.开始仿真 1.compiler vcs -full64 -f file.f -debug_pp +vcd+vcdpluson 参数解释 file.f 是你的设计文件索引 +vcd+vcdpluson产生Synopsys的波形文件之一vpd格式的波形文件 2.simulate ./simv 生成 名为vcdpluson.vpd的文件 可以使用使用dve查看波形

VCS仿真流程

去中兴面试的时候被问到vcs 的使用方式,现在整理一下. 1. three-step flow 第一步:analysis——vlogan.vhdlan 在analysis phase中VCS会检查文件的语法错误,并将文件生成elaboration phase需要的中间文件,将这些中间文件保存在默认的library中(也可以用-work指定要保存的library). 1. analyzing VHDL files % vhdlan [vhdlan_options] file1.vhd file2.

cadence upf低功耗流程的仿真验证

本文是记录项目过程中遇到的奇巧淫技,如有遗漏或者不足,请大家改正和补充,谢谢. 随着深亚微米技术的普及与发展,leakage功耗在整个功耗中的比重越来越大,比如45nm下,已经占到了60%以上,所以低功耗解决方案应运而生.目前已经有一套标准的低功耗设计流程,流程有CPF(cadence主导)和UPF(synopsys主导)两种,但技术趋势是UPF会大一统,所以本篇将为那些仍旧使用ncverilog而不是vcs仿真工具的苦逼们提供一些参考.目前常用的降低低功耗的方法有四种:多电压域.时钟门控.电源

vcs覆盖率概念

---恢复内容开始--- vcs脚本命令中包含六种覆盖率分析选项:-cm line|cond|fsm|tgl|path|branch|assert,分别代表行覆盖率|条件覆盖率|状态机覆盖率|翻转覆盖率|路径覆盖率|分支覆盖率|断言覆盖率 line_coverage:仿真代码中行和语句的覆盖情况:cond_coverage:表明代码中条件语句的覆盖情况:fsm_coverage:状态机中各个状态的覆盖情况:tgl_coverage:代码中信号的0到1,1到0的翻转情况:obc:表明代码中的分支覆

VCS课时4: 使用VCD文件进行后处理

课程目标 原来是互动的过程,这一节课主要讲的是仿真平台完成任务,用DVE打开打开波形文件 查看波形文件 查看log文件 最早的是VCD文件,在这基础上推出的VCD+文件,文件比较大,读取慢 在仿真代码中,嵌入dump 波形 产生VCD文件 DVE在仿真后步骤 1 DVE后处理模式 PPT1 仿真的速度(depend on data dump commands 各种开关) (设计验证的初期,需要我们把波形保持下来,这个时候bug比较多,但是到后面设计收敛,跑的比较久,这个时候就不需要dump波形)

Debussy VerilogVHDL ISE仿真平台搭建步骤

一.Xinlinx编译库+Modelsim+Debussy版本1.安装modelsim10.1c 32bit版本(注意64bit与Debussy不兼容)2.安装Debussy54v9-NT2.1设置path路径 D:\Novas\Debussy\bin3.解压编译后的xinlinx库Modelsim_xilinx_libs__modeltech_10.1c.rar 到..\modeltech_10.1c文件夹下DebussyXilinxLib__etc-kdb-vhdl-32.rar 到..\D

QuestaSim自动化仿真之do文件

一.编写基本的do文件 下面按照实际仿真的步骤来说明do文件中需要用到的各个tcl命令. 1.quit -sim ---- 退出原来的仿真工程: 2.cd ---- 设置工作目录的路径,就是仿真工程路径: 3.vlib work ---- 在工作目录下建立一个work目录,请注意不要用操作系统直接新建一个work的文件夹,因为用操作系统建立的work文件夹并没有modelsim SE自动生成的_info文件.还有个问题是,当你的软件工程里需要用到软核时,可能会需要一些库,但这些库ISE软件中是没

利用profiler工具提高NC-Verilog仿真效率

大家进行芯片验证时,一般都会遇到仿真速度很慢.效率不高的问题.目前发现了一个方法可以debug上述问题.即,利用NC的profiler工具. 关于profiler工具,我把文档<Cadence® NC-Verilog® Simulator Help>中的原文贴出来:The pro?ler is a tool that measures where CPU time is spent during simulation. Although it was developed primarily t