什么时候需要进行信号完整性分析?(于博士信号完整性)

什么时候需要进行信号完整性分析?这个问题可能很多人都有疑问。

回答这个问题其实很简单,只需要观察几个现象:您在做PCB的时候是否有调不通的情况?是不是需要反复试验很多电阻电容的值才能勉强让PCB跑起来?是不是总是会出现莫名其妙的问题影响电路工作?是不是需要多次打板才能搞好?

如果有这些现象,那么您需要考虑是不是信号完整性出了问题。什么时候需要考虑信号完整性问题,和电路的速率没有多大关系,很多人有这样的认识误区,认为只有高速电路才需要考虑信号完整性问题,低速电路不用管。还有相当一部分人认为,信号完整性是一种虚无缥缈的东西,没几个人用得到。这一类认识误区太多太多。

信号完整性其实不是什么新的东西,而是设计PCB这种工作固有的。只不过多年前数字电路刚兴起的时候,由于芯片加工工艺的原因,信号边沿速率很慢,尽管也有信号完整性问题,但是没有明显表现出来而已,但无论如何这个问题是一直都存在的。而当前,由于芯片加工工艺的发展,信号边沿速率越来越快,信号完整性问题越来越明显的表现出来。信号速率高了,这种反应更剧烈而已,速率低可能不明显,但在有些情况下也会出事。如果不懂信号完整性,设计的时候就不注意,一旦出事,就会陷入加班、调试、重复打板、调试的怪圈中。

有些人固守老的经验,不屑于或不愿接触这类新的问题。最常见的一种表现就是,经常会听到这样的话,我们从来不考虑这些问题,不一样做出来了。是的,也许对于特定的设计,现在可以凭老的经验做出来,但会一直这样吗?产品不升级换代么?为什么有些产品原来好好的,但某款芯片退出市场了,换了一个功能可兼容的芯片,电路板跑不起来了?

所以,信号完整性问题,不是什么时候考虑的问题,而是考虑到什么程度的问题。

信号完整性不是单独的、额外的、高大上的东西,而是只要做PCB就会涉及的,唯一区别是用到多少,需要懂多少的问题。

以前遇到的一个资深工程师的话很耐人寻味:经验很有用,但是经验也害死人啊!

是固守老黄历,还是勇于面对新事物,跟上时代的步伐,全在个人的选择。

本站文章欢迎转载,转载请注明出处:于博士信号完整性 www.sig007.com

最近一直在关注于博士的相关信息。感觉定义还不错。转载发布给大家看一下。

喜欢于博士的话可以关注于博士信号完整性微信公众号  zdcx007

时间: 2024-08-05 12:52:23

什么时候需要进行信号完整性分析?(于博士信号完整性)的相关文章

于博士信号完整性年中研讨会北京站预约报名

<信号完整性--系统化设计方法及案例分析>高级研修班 主办单位:北京中鼎畅讯科技有限公司 举办时间:2017年7月14-15日(2天) 举办地点:北京 课程简介 信号完整性是内嵌于PCB设计中的一项必备内容,无论高速板还是低速板或多或少都会涉及信号完整性问题.仿真或者guideline的确可以解决部分问题,但无法覆盖全部风险点,对高危风险点失去控制经常导致设计失败,保证设计成功需要系统化的设计方法.许多工程师对信号完整性知识有所了解,但干活时却无处着手.把信号完整性设计落到实处,也需要清晰的思

信号完整性分析入门建议

随着芯片的集成度越来越高,生产工艺的改善及成本压力的增加,芯片厂商在生产芯片时,芯片的沟道越来越短.这造成了即使频率很低的信号,其上升下降时间会非常的小,在板级设计时,如果设计不合理,信号的过冲及振荡现象严重.所以,正如Eric Bogatin所说:有两种工程师,一种是已经遇到了信号完整性问题,另一种是即将遇到信号完整性问题.因此,关于信号完整性的分析就显得格外重要. 这里,主要是谈谈学习的方法.顺序.仿真软件.测试测量.电源完整性.电磁兼容.一.关于学习的方法 刚开始的时候,可以先看看"中兴通

nginx源码分析--nginx外部信号 命令参数

nginx命令行参数 不像许多其他软件系统,Nginx 仅有几个命令行参数,完全通过配置文件来配置 -c </path/to/config> 为 Nginx 指定一个配置文件,来代替缺省的. -t 不运行,而仅仅测试配置文件.nginx 将检查配置文件的语法的正确性,并尝试打开配置文件中所引用到的文件. -v 显示 nginx 的版本. -V 显示 nginx 的版本,编译器版本和配置参数. nginx控制信号 可以使用信号系统来控制主进程.默认,nginx 将其主进程的 pid 写入到 /u

linux信号机制分析

[摘要]本文分析了Linux内核对于信号的实现机制和应用层 的相关处理.首先介绍了软中断信号的本质及信号的两种不同分类方法尤其是不可靠信号的原理.接着分析了内核对于信号的处理流程包括信号的触发/注册/执行 及注销等.最后介绍了应用层的相关处理,主要包括信号处理函数的安装.信号的发送.屏蔽阻塞等,最后给了几个简单的应用实例. [关键字]软中断信号,signal,sigaction,kill,sigqueue,settimer,sigmask,sigprocmask,sigset_t 1      

[学习笔记]信号基本概念(中断和信号)/名称及常用信号/信号处理/signal函数实践

1基本概念 中断 q  中断是系统对于异步事件的响应 q  中断信号 q  中断源 q  现场信息 q  中断处理程序 q  中断向量表 异步事件的响应:进程执行代码的过程中可以随时被打断,然后去执行异常处理程序 生活中的中断和计算机系统中的中断 1)  无中断生活场景 张三看书,厨房烧水 2)有中断的生活场景 张三看书,设置闹钟,厨房烧水. 闹钟发出中断信号,张三把书合好(第20页),去厨房把开水事情处理好,张三重新打开20页进行阅读. 3)计算机系统的中断场景 中断源发出中断信号,CPU判断

APUE学习笔记——10.11~10.13 信号集、信号屏蔽字、未决信号

如有转载,请注明出处:Windeal专栏 首先简述下几个概念的关系: 我们通过信号集建立信号屏蔽字,使得信号发生阻塞,被阻塞的信号即未决信号. 信号集: 信号集:其实就是一系列的信号.用sigset_t set表示. 数据类型:sigset_t 类似于整型(位数可能超过整型,因而不能用整型表示). 我们一般在sigprocmask()等函数中使用信号集,用于创建一系列进程要阻塞的信号,告诉内核不允许这些信号发生. 几个关于信号集的函数: #include <signal.h> int sige

信号完整性分析第一章小结

空间 5.为了发现.修正和防止信号完整性问题,必须将物理设计转化为等效的电路模型并这个模型来仿真出波形,以便在制造出产品之前预测器性能. 6.使用三种级别的分析累计算电气效应——经验法则,解析近视和数值仿真工具,这些分析都可以应用于建模和仿真. 7.测量无源器件的和互连线的电气性能的仪器一般有三种:阻抗分析仪.网络分析仪和时频反射仪. 8.这些仪器对减小设计风险.提高建模和仿真过程精度的可信度起着重要作用. 9.理解四种信号完整性的问题可以得出消除这些问题的最重要方法.下图总结了这四种信号完整性

GPIO模拟IIC接口信号质量分析

信号质量有问题的波形001: 信号质量有问题的波形002: 从上图可以看出,GPIO口模拟的I2C接口,电平都存在半高的情况. 因为MFI芯片的I2C采用的GPIO口模拟I2C接口来实现的此功能,原SCL采用的GPB7(内部默认为上拉状态),因为GPIO资源的调整,SCL改为GPD12(内部默认为下拉状态),因SCL对应的GPIO口内部有下拉电阻,导致在输出为高电平时,上升沿慢的台阶出现.软件后面把GPD12的内部下拉电阻disable之后,测试的波形如上图所示,从上图可以看出SCL时钟信号已经

【IP分析】合并信号concat,拆分总线slice

一般都是把几个零散的中断信号合并成bus,连到系统中断总线上. 比如出个GPIO[31:0]来控制bram的几个控制信号,分配如下: GPIO[24] - clk  (可以直接指定[24]这一根线出来) GPIO[16] - en GPIO[8]  - rst GPIO[3:0] - web[3:0] //