linux下SystemC安装以及VS2010下SystemC的使用

1、linux下SystemC安装

最近在学习SystemC,这是一门系统级建模语言。其在C++的基础上扩展,使C++具有并发特性(硬件工作都是并发的),简单的说SystemC就是C++的一个类库。

SystemC源码是开源的,只要任何支持C++的编译器,都可以对其编译。下面简单介绍一下其安装过程:

linux下源码安装的过程大都是这样:1、./configure ;2、make ;3、 make install;SystemC也不例外。将下载的源码解压到文件夹如:/home/user/DirA;user代表你的用户名,DirA表示你创建的某个目录;

1 cd /home/user/DirA
2
3 ./configure -prefix=INSTALL_DIR     //用-prefix=指定要安装到的目录路径,此路径必须已经存在;
4
5 make
6
7 make install

基本就可以了,我是在虚拟机下的小红帽,我的安装遇到一些小的错误,主要是example没有安装进去,docs也没有,但类库都已经安装好了;

执行 make check 检查安装是否成功,make check 成功就没问题了,我的是成功状态。

最后的安装目录如下:

2、VC6++/VS2010 SystemC使用

前面说过,SystemC实际上是一个C++类库,因此,VC或者VS下使用SystemC就是外部库的使用。

库编译:下载解压后的systemc-version下有msvc60等目录,下面有工程文件,直接可以打开编译即可得到SystemC的库。

新建工程;

库添加:

1)项目右键-属性-配置属性-连接器-常规:添加附件库目录

2)项目右键-属性-配置属性-连接器-输入:添加库

3)项目右键-属性-配置属性-C/C++-常规:添加头文件所在目录

编写sc_hello.cpp代码如下并添加到工程:

 1 // sc_helloworld.cpp : 定义控制台应用程序的入口点。
 2 //
 3
 4 #include "systemc.h"
 5 SC_MODULE(hello)
 6 {
 7     sc_in<bool> clock;
 8     void run()
 9     {
10         cout << "@"<<sc_simulation_time<<" hello world" <<endl;
11     }
12
13     SC_CTOR(hello)
14     {
15         SC_METHOD(run);
16         sensitive<<clock.pos();
17     }
18 };
19
20 int sc_main(int argc, char* argv[])
21 {
22     sc_clock clk("clock",20,SC_NS);
23     hello h("hello");
24     h.clock(clk);
25     sc_start(200,SC_NS);
26     system("pause");
27     return 0;
28 }

得到结果如下:

时间: 2024-10-06 07:48:42

linux下SystemC安装以及VS2010下SystemC的使用的相关文章

Linux:在已安装nginx情况下安装nginx模块

在已安装nginx情况下安装nginx模块 nginx第三方模块安装方法: 代码如下: ./configure --prefix=/你的安装目录  --add-module=/第三方模块目录 1..查看nginx编译安装时的命令,安装了哪些模块 代码如下: #/usr/local/webserver/nginx/sbin/nginx -V 2.切换到root用户 代码如下: #su root 3.在已安装nginx情况下安装nginx模块(username为系统用户名) 代码如下: # sudo

02_Weblogic课程之安装篇:RedHat下JDK安装,RedHat下Weblogic安装,目录结构,环境变量设置

 1  Weblogic的安装方式有三种: 一.GUI方式安装    (java –jar wls1035_generic.jar [-mode=gui])这是默认的 二.Console方式安装   (java –jar wls1035_generic.jar –mode=console) 三.Silent方式安装(静默方式) :这种方式不需要认为干预,默认安装的,适合作集群的时候使用,需要一个配置文件    (java –jar wls1035_generic.jar –mode=sile

分布式缓存技术redis学习系列(一)——redis简介以及linux上的安装

redis简介 redis是NoSQL(No Only SQL,非关系型数据库)的一种,NoSQL是以Key-Value的形式存储数据.当前主流的分布式缓存技术有redis,memcached,ssdb,mongodb等.既可以把redis理解为理解为缓存技术,因为它的数据都是缓存在内从中的:也可以理解为数据库,因为redis可以周期性的将数据写入磁盘或者把操作追加到记录文件中.而我个人更倾向理解为缓存技术,因为当今互联网应用业务复杂.高并发.大数据的特性,正是各种缓存技术引入最终目的. 关于r

分布式缓存技术redis学习(一)——redis简介以及linux上的安装

redis简介 redis是NoSQL(No Only SQL,非关系型数据库)的一种,NoSQL是以Key-Value的形式存储数据.当前主流的分布式缓存技术有redis,memcached,ssdb,mongodb等.既可以把redis理解为理解为缓存技术,因为它的数据都是缓存在内从中的:也可以理解为数据库,因为redis可以周期性的将数据写入磁盘或者把操作追加到记录文件中.而我个人更倾向理解为缓存技术,因为当今互联网应用业务复杂.高并发.大数据的特性,正是各种缓存技术引入最终目的. 关于r

Win7+vs2010下安装boost_1_46_1库

一.boost库分类: (1)不需要编译库:any.array.asio.conversion.crc.bind/mem_fn.enable_if.function.lambda.mpl.smart_ptr... (2)需要编译的库:date_time.filesystem.function_types.graph.iostreams.math.mpi.program_options.python.regex.serialization.signals.system.test.thread.wa

Linux(Red Hat 6 32位) 下安装Mysql5.6.30

1. 下载MySQL 5.6 下载页面:http://dev.mysql.com/downloads/mysql/ 此处选择"Red Hat Enterprise Linux 6 / Oracle Linux 6 (x86, 32-bit), RPM Bundle"下载,下载至/root/fuxian/目录下,下载文件名为"MySQL-5.6.30-1.el6.i686.rpm-bundle.tar" 2. 解压tar包 cd /fuxian/Downloads/

linux下oracle安装

本文主要介绍linux下oracle的安装,主要分为3部分:准本工作.安装oracle软件.用dbca工具创建数据库. 实验环境:rhel5.6+oracle_database_linux32.zip(10.2.0.1.0) 实验过程: 首先要确保linux系统内存大小在1G以上,另外/home与/目录也要足够大. 1.在安装oracle软件前,linux需要安装这些软件:binutils-2.17.50.0.6-5.el5.compat-db-4.2.52-5.1.control-center

Red Hat Enterprise Linux 5.10在vmware10下的安装

Red Hat Enterprise Linux 5.10在vmware10下的安装 1.启动"新建虚拟机"向导程序.如下图,选择"自定义",点击"下一步" 2.选择虚拟机硬件兼容性,你可以根据自己需求选择,这里选择默认,如下图.单击"下一步" 3.指定虚拟机系统的安装方式.选择"稍后安装操作系统",如果选择"安装盘镜像文件(iso)",虚拟机启动后会自动执行快速安装,不方便用户控制安装

Linux 系统下Eclipse安装及使用

我们在搞上层开发的时候,都是在Windows下使用Eclipse,那么如果是Linux应用开发,就必须要在Linux中安装Eclipse,用于C/C++开发,当前是要在Linux带界面的系统中运行了,我这里就重新安装了一个带界面的CentOS6.3. 首先打开浏览器,找到JDK的官网,下载Linux下的JDK8的gz包 这个下载过程我就不演示了,然后打开Eclipse的官网,下载Eclipse C/C++相关版本 下载好,之后就是两个gz包 解压jdk的压缩包(解压过程不演示了) 把这个解压好的