IUS通过PLI产生fsdb波形

IUS通过PLI接口来调用系统函数,产生fsdb波形,再由verdi来debug。

要调用fsdbDumpfile和fsdbDumpvars,需要在testcase的shell(或.cshrc等)中设置两个路径:

setenv LD_LIBRARY_PATH ${VERDI_INST_DIR}/share/PLI/${SIMULATOR_VERSION}/${PLATFORM}/boot:$LD_LIBRARY_PATH(setenv函数只在当前shell中有效)

+loadpli1=debpli:novas_pli_boot(或debpli:(directory of debpli.so))

如何打开基于这个工程的verdi:

在worklib++/ ,下使用命令verdi -top top。或者verdi -f file_list

时间: 2024-10-28 07:30:06

IUS通过PLI产生fsdb波形的相关文章

VCS仿真生成fsdb文件

VCS仿真生成fsdb文件(Verilog) 一.环境 Linux 平台 VCS 64bit Verdi3 二.开始仿真 1. 联合仿真环境配置 a.在testbench中加入如下语句: 1 initial begin 2 $fsdbDumpfile("tb.fsdb"); 3 $fsdbDumpvars; 4 end b.注意verdi接口库的路径(脚本中体现) 2.仿真脚本 1 #!/bin/csh -f 2 3 setenv NOVAS_HOME /user/EDA_Tools/

使用Debussy+ModelSim快速查看前仿真波形

引子:ModelSim是HDL仿真软件,Debussy是波形查看软件:搭配使用,相当爽.此处所谓快速查看前仿真波形仅为抛砖引玉,大家不要拘泥于此.两款软件的功能都很强大,请自行研究. 注:本篇博文的软件环境为:Debussy 5.3v9 + Modelsim SE 6.5 配置篇 1 安装.和谐软件.略. 2 拷贝文件..\Novas\Debussy\share\PLI\modelsim_pli\WINNT\novas.dll至文件夹..\modeltech_6.5\win32. 3 取消文件.

各种波形文件VCD,VPD,SHM,FSDB生成的方法

转载---http://www.cnblogs.com/zeushuang/archive/2012/11/14/2769640.html 仿真是IC设计不可或缺的重要步骤,仿真后一般需要记录下波形文件,用于做详细分析和研究.说一下几种波形文件WLF(Wave Log File).VCD(Value Change Dump)文件,fsdb(Fast Signal DataBase)文件.shm.vpd: 1.对于WLF波形日志文件,只要我们使用过modelsim,应该都很熟.WLF(Wave L

FSDB Dumper

FSDB:Fast Signal Database 相比较于VCD文件,FSDB文件的大小比VCD波形小5-50倍. 各家的仿真器都支持在simulation的过程中,直接生成FSDB文件 将VCD文件转换为FSDB文件的三种方式: 1) vfast工具 2) 在nTrace中使用File---Load simulation Tesults打开 3) 在nWave中使用File---Open命令来打开 将Fsdb文件转换为Vcd文件: 1) fsdb2vcd工具, 在进行仿真时,novas_du

[转] 基于C#的波形显示控件的实现

转自 基于C#的波形显示控件的实现[附完整源码下载] 编者记: 09年暑假正好在学院实验室呆了一段时间,做了个完整的上位机软件(具体实现:根据下位机的指令,实现通过串口来操纵下位机进行实验,并将采集的数据进行处理和保存,并以图形的方式显示),整个项目边学C# WinForm边设计,这个波形显示控件就是项目中的一部分,也花了自己绝大多数时间.此外,顺便将该波形显示控件当作自己毕业设计的内容,下文实际上是节选自自己的本科毕业论文,希望对大家能有所帮助.代码以及文章有疏漏.错误.不妥之处在所难免,欢迎

基于matlab的音频波形实时采集显示 v0.1

robj = audiorecorder(44100,16,1); %设置采样频率.采样位数.通道数 recordblocking(robj,1); %采集初步数据(1s长度) rdata = getaudiodata(robj); %获取音频数据 plot(rdata); %绘制波形 axis([1,44100,-0.1,0.1]); %设置固定坐标轴 drawnow %刷新显示 n = 100; %设定后续的采样更新次数,n与m可联合计算后续更新时间长度 m = 0.1; %设定更新间隔,m

verilog PLI简介

0.简介 Verilog PLI(Programming Language Interface )是一种Verilog代码调用C/C++函数的机制.它能让Verilog像调用一些系统调用(如$display/$stop/$random)一样调用用户编写的C/C++函数.PLI可以完成如下功能: 功耗分析 代码覆盖率工具 修改Verilog仿真数据结构(如修改为更精确的延时,即sdf反标) 自定义输出显示 联合仿真 设计的调试功能 仿真分析 加速仿真的C模型接口 Testbench建模 为了完成上

音频 波形 ffmpeg sdl opengl pcm kbps

玩了下pcm解波形~ ffmpeg  是 libavcodec的大神器,音频视频通用!解码转格式一气呵成,能解码所有音视频格式!编码部分音视频格式! PCM 声音文件的直接存储格式,通常是位数和声道的组合,如图: 详情:http://blog.csdn.net/ownwell/article/details/8114121 ffmpeg 我是 一窍不通,基础项目是copy雷老师的ffmpeg 系列! 雷老师的ffmpeg系列链接:http://blog.csdn.net/leixiaohua10

STM32F4_TIM输入波形捕获(脉冲频率、占空比)

Ⅰ.概述 本文基于上一篇文章“TIM输入波形捕获(脉冲频率)”的基础上进行拓展,上一篇文章主要是捕获波形的频率,本文主要拓展捕获波形的占空比. 笔者实验测试的方法和上一篇文章一样,通过信号发生器产生PWM信号,通过串口发送频率和占空比到上位机(上位机串口助手显示其数值).(没有信号发生器的朋友可以结合上一篇文章PWM输出做信号源:在同一块板子上也可以使用不同定时器,将PWM输出引脚接在捕获输入引脚) 实验现象:不同频率的实验现象请看上一篇文章(该文章提供的工程笔者也是进行了大量不同频率的测试,误