zedboard 流水灯

#include"xparameters.h"/* Peripheral parameters 外围的参数 */
#include"xgpio.h"/* GPIO data struct and APIs GPIO、结构,应用程序编程接口 */
#include"xil_printf.h"
#include"xil_cache.h"
#define GPIO_BITWIDTH	8	/* This is the width of the GPIO */
#define GPIO_DEVICE_ID  XPAR_AXI_GPIO_0_DEVICE_ID//device id
#define LED_DELAY     40000000/* times delay*/
#define LED_MAX_BLINK	0x1	/* Number of times the LED Blinks */
#define LED_CHANNEL 1/* GPIO channel*/
#define printf xil_printf	/* A smaller footprint printf */
XGpio Gpio; /* The Instance of the GPIO Driver */
XGpio GpioOutput; /* The driver instance for GPIO Device configured as O/P */

int GpioMarquee (u16 DeviceId, u32 GpioWidth)
{
	int Delay;
	u32 LedBit;
	u32 LedLoop;
	int Status;
	 Status = XGpio_Initialize(&GpioOutput, DeviceId);
	if (Status != XST_SUCCESS)  {
		return XST_FAILURE;
	 }
	 XGpio_SetDataDirection(&GpioOutput, LED_CHANNEL, 0x0);//输出模式
	 XGpio_DiscreteWrite(&GpioOutput, LED_CHANNEL, 0x0);
	for (LedBit = 0x0; LedBit < GpioWidth; LedBit++)  {
			XGpio_DiscreteWrite(&GpioOutput, LED_CHANNEL,
						1 << LedBit);
			for (Delay = 0; Delay < LED_DELAY; Delay++);
			XGpio_DiscreteClear(&GpioOutput, LED_CHANNEL,
						1 << LedBit);
	 }
	for (LedBit = 0x07; LedBit >= 0x01; LedBit--)  {
				XGpio_DiscreteWrite(&GpioOutput, LED_CHANNEL,
							1 << LedBit);
				for (Delay = 0; Delay < LED_DELAY; Delay++);
				XGpio_DiscreteClear(&GpioOutput, LED_CHANNEL,
							1 << LedBit);
		 }
	for (LedBit = 0x0; LedBit < GpioWidth; LedBit++)  {
		        LedBit++;
				XGpio_DiscreteWrite(&GpioOutput, LED_CHANNEL,
							1 << LedBit);
				for (Delay = 0; Delay < LED_DELAY; Delay++);
				XGpio_DiscreteClear(&GpioOutput, LED_CHANNEL,
							1 << LedBit);
		 }
	return XST_SUCCESS;
}
 main(void)
{
	while(1){
		u32 status;
		status = GpioMarquee (GPIO_DEVICE_ID,GPIO_BITWIDTH);
			printf("SUCESS!.\r\n");
	}
	return XST_SUCCESS;
}

版权声明:本文为博主原创文章,未经博主允许不得转载。

时间: 2024-08-09 19:53:32

zedboard 流水灯的相关文章

【zedboard】在PL端实现流水灯

开发环境:win 10 开发平台:vivado 2015.4 目的:在zynq7000的基础上以zedboard为硬件平台实现流水灯,zynq7000系列有一个硬件FPGA和两个ARM-A9硬核,FPGA部分被称为PL(Programmable Logic),不使用SDK来进行实验. 流程: 正式开始建立工程和编写代码之前我们需要先看懂原理图,下面我们看一下zedboard的LED所对应的原理图. 这是LED部分的原理图,在找到对应的FPGA引脚 LD0对应的T22 LD1对应的T21 LD2对

永远的流水灯(Verilog)

1. 为了更好地学习FPGA和深入理解Verilog语法,首先从最简单的流水灯做起.虽然简单,但是也包含了不少知识.通过这次实验项目,可以了解开发软件的使用及Verilog的编程方法,熟悉模块化设计的方法. 2. 该项目主要实现的功能为: (1)10位的流水灯 (2)中间两个led灯每隔100ms闪烁一次 (3)两边的led灯每隔100ms流动一下,从中间向两边流水. 3.  具体实现如下 (1)首先定义一个时间计数寄存器counter,每当达到预定的100ms时,计数寄存器就清零,否则的话寄存

Qt利用代码实现流水灯的效果

用代码实现流水灯的效果 其实很想实现这种流水灯的效果了,看起来挺酷的,用处也很多,只是开始没有思路不知道怎么去实现,于是在我的超市收银项目中就采用了图片加载的方式进行显示,效果如下图所示: 由于是动态图片,显示的时候就要用到QMovie进行加载,简单的代码如下所示: QMovie *move = new QMovie(":/images/splash.gif"); QLabel *label = new QLabel("",0); label->setWind

四位流水灯Led_4

流水灯实验是我们学习开发板或语言的入门程序,通过流水灯这个可视化的实验,会增加我们对学习语言的乐趣, 会让我们觉得其实语言也是可以玩出花样的,接下来呈上代码,大家可以下到自己的板子里试试,. //Led_4.v module Led_4(clk,rst,led); input clk; input rst; output [3:0] led; reg [3:0] led; always @(posedge clk or negedge rst) begin if(!rst) begin led

第一个FPGA工程—LED流水灯

这一章我们来实现第一个FPGA工程-LED流水灯.我们将通过流水灯例程向大家介绍一次完整的FPGA开发流程,从新建工程,代码设计,综合实现,管脚约束,下载FPGA程序.掌握本章内容,大家就算正式的开始入门FPGA开发了. 1.1.1.电路说明 1.1.2.新建工程 第一步:从开始菜单启动Quartus II 13.1(64 bit) ,如下图. 第二步:菜单栏选择File->New Project Wizard,新建工程. 第三步:弹出新建工程对话框,点击Next,下一步. 第三步:如下图,依次

51单片机第一弹---流水灯

转行搞硬件..acm算是走到头了,毕竟电子是自己专业的特色.. 初撸单片机,买的板子是STC89C52(某宝58大洋淘来的..) 郭天祥新概念的那本书(好像有点不配套不过凑活着弄了也..) 说一下容易犯的错误吧. 1.串口驱动搞了1个多小时..一直安装不上,后来跟着教程做的 2.书上写的控制LED端口的是P1 ,但实际上买的这快板子是P2 ,最开始搞了半天老是点不亮灯,后来一想会不会端口错了,换成0试试?换了不行,又换了2..亮了(这是个问题,以后要找学长问问,怎么根据开发板看出来每个模块的端口

[51单片机] EEPROM 24c02 [I2C代码封装-保存实现流水灯]

这里把EEPROM 24c02封装起来,今后可以直接调用,其连线方式为:SDA-P2.1;SCL-P2.0;WP-VCC >_<:i2c.c 1 /*----------------------------------------------- 2 名称:IIC协议 3 内容:函数是采用软件延时的方法产生SCL脉冲,固对高晶振频率要作 一定的修改....(本例是1us机器 4 周期,即晶振频率要小于12MHZ) 5 ---------------------------------------

一步步玩pcduino3--mmc下的裸机流水灯

第一部分是玩pcduino3下的裸机,这个过程可以让我们更好的理解嵌入式系统,熟悉我们使用的这个平台. 首先介绍下开发环境: 虚拟机:VMware? Workstation 10.0.2 build-1744117 系统:Linux slackware 3.2.29-smp #2 SMP 交叉编译器:arm-linux-gnueabihf-    版本号:gcc version 4.8.2 20130805 (prerelease) (crosstool-NG linaro-1.13.1-4.8

流水灯之并行操作

流水灯 功能描述: 10个led灯 从第一个和最后一个led灯开始从两边向中间闪烁,闪烁频率为0.5s一次 项目实现 首先定义一个计时器 计数器的名字为count1,如果复位或者count1==2.5s时复位,否则count1加1,开发板晶振50M 当计数达到TIME=2.5s/(1/50M)-1=124_999_999次数的时候,即为2.5s具体代码为:[email protected](posedge CLK or negedge RSTn)   if(!RSTn)    Count1<=2