Debussy与modelsim联仿时 do 文件脚本

quit -sim  

set PATH1 D:/Program/modelsim/vivado_lib
set PATH2 D:/Program/Vivado/Vivado/2014.4/data/verilog/src

set PATH3 D:/Program/Vivado/Vivado/2014.4/data/verilog/src/xeclib

vlib novas
vmap novas novas
vcom +acc -work novas novas.vhd

vlib work

 vmap work $PATH1/secureip
 vmap work $PATH1/unisim
 vmap work $PATH1/unimacro
 vmap work $PATH1/unifast
 vmap work $PATH1/unisims_ver
 vmap work $PATH1/unimacro_ver
 vmap work $PATH1/unifast_ver
 vmap work $PATH1/simprims_ver     

#vlog $PATH2/glbl.v

vlog  glbl.v

vlog -work work -f ../verilog.f
vcom -work work -f ../vhdl.f

vlog -work work $PATH3/*.v 

#vsim -novopt work.tb_sensor_rxdata
vsim work.tb_sensor_rxdata

run 100ns

q 

## initial begin
##        $fsdbDumpfile("wave.fsdb");
##    //$fsdbDumpvars(1 , tb_binning_top);
##        $fsdbDumpvars;
##      forever #1 $display("Simulation Time now is : %t",$time);
## end
::关闭回显
@ECHO OFF
::设置软件路径
SET vsim=D:\Program\modelsim\win32\vsim.exe
SET debussy=D:\Program\Debussy\bin\Debussy.exe
::ModelSim Command
%vsim% -c -do sim.do
::删除ModelSim生成的相关文件
RD work /s /q
DEL transcript vsim.wlf /q
::Debussy Command
%debussy% -f ../verilog.f -f ../vhdl.f -ssf wave.fsdb -2001
::删除波形文件
DEL wave.fsdb /q
::删除Debussy生成的相关文件
RD  Debussy.exeLog  /s /q
DEL debussy.rc /q
::退出命令行
EXIT
 
时间: 2024-10-07 11:06:32

Debussy与modelsim联仿时 do 文件脚本的相关文章

用仿ActionScript的语法来编写html5——第九篇,仿URLLoader读取文件

第九篇,仿URLLoader读取文件 先看看最后的代码 function readFile(){ urlloader = new LURLLoader(); urlloader.addEventListener(LEvent.COMPLETE,readFileOk); urlloader.load("../file/test.txt","text"); } function readFileOk(){ mytxt.text = urlloader.data; } 基

百度编辑器 Ueditor 上传图片时打开文件夹的延迟问题,点击上传图片弹窗打开慢问题

在使用 ueditor 开发时, 作为一个web文本编辑器使用时. 当点击上传图片时, 文件夹要延迟好久才能打开. 解决: 针对多图片上传, 将/ueditor/dialogs/image/image.js 文件下的以下代码 1 2 3 4 5 accept: {                     title: 'Images',                     extensions: acceptExtensions,                     mimeTypes:

Java学习-040-级联删除目录中的文件、目录

之前在写应用模块,进行单元测试编码的时候,居然脑洞大开居然创建了一个 N 层的目录,到后来删除测试结果目录的时候,才发现删除不了了,提示目录过长无法删除.网上找了一些方法,也找了一些粉碎机,都没能达到想要的结果,我就简写了一个小应用,用于删除自己脑洞大开创建的级联目录.此小应用没有代码注释,也没有进行容错处理,请大家知悉!哈哈哈哈哈.....若发现有错误,也请告知,我会去修改订正,非常感谢! 闲话少述,直接上码了! 1 /** 2 * Aaron.ffp Inc. 3 * Copyright (

U盘删除文件时提示“文件或目录损坏且无法读取”的解决方法

U盘删除文件时提示"文件或目录损坏且无法读取"的解决方法 出现原因:在写入或读取文件时,进行复制操作,此时复制到的文件是不完整的!或者移动硬盘/U盘中途被拔出,导致文件损坏 异常现象:被删文件(夹)属性为"只读",更改属性后删除,出现错误提示:提示文件损坏. DOS下使用rd /s命令强制删除失败,进入到该文件夹下使用del /f命令强制删除失败. 使用冰刃.unlocker等强制粉碎文件工具都提示文件损坏 解决方法:CMD下运行命令" chkdsk /f

关于 百度 Ueditor (在chrome浏览器) 上传图片时 打开文件夹的延迟问题

在使用 ueditor 开发时, 作为一个web文本编辑器使用时. 当点击上传图片时, 文件夹要延迟好久才能打开. 解决: 针对多图片上传, 将/ueditor/dialogs/image/image.js 文件下的以下代码 accept: { title: 'Images', extensions: acceptExtensions, mimeTypes: 'image/*' }, 改为 accept: { title: 'Images', extensions: acceptExtensio

How to:如何在调用外部文件时调试文件路径(常见于使用LaunchAppAndWait和LaunchApp函数)

原文:How to:如何在调用外部文件时调试文件路径(常见于使用LaunchAppAndWait和LaunchApp函数) IS里调用外部文件的时候,一般都是用LaunchAppAndWait函数,比如 if(LaunchAppAndWait (SRCDISK^"jdk\\jdk-6u4-windows-i586-p.exe","", LAAW_OPTION_WAIT)<0)then MessageBox ("You haven't installe

gcc/g++链接时.o文件及库的顺序问题

折腾gcc/g++链接时.o文件及库的顺序问题 链接静态库的顺序问题 GCC 编译使用动态链接库和静态链接库--及先后顺序----及环境变量设置总结

tar打包时排除文件

如果 /opt/apache/目录下有 a b c d  这几个目录,现在要将 a b目录tar打包,不打包c d目录 命令如下,当前在opt目录下: opt> tar -zcvf apache.tar.gz --exclude=c --exclude=d apache 或 opt> tar -zcvf test.tar.gz --exclude=/apache/c --exclude=apache/d apache 注意: 要打包的目录要放在最后面的! tar打包时排除文件

解决MySQL联表时出现字符集不一样

mysql 建表时都会设置表的字符集和排序规则,通常是 utf8,不过我这边习惯建表的字符集是 utf8mb4,排序规则是 utf8mb4_unicode_ci.有些 utf8mb4 的表默认排序规则是 utf8mb4_general_ci,导致在联表操作时会报错误: Illegal mix of collations 其实这个就是联表时由于表的排序规则不一致才会引起的,这个可以通过将表的排序规则改成一致来解决. 但是有时表已经在线上了,无法修改,而现在又要急着用,可以通过下面的方法: UPDA