Quartus prime 16.0 中通过JTAG固化程序

前言

下载项目sof文件到开发板中,掉电后会消失;由于开发板有JTAG口,则可以用JTAG固化jic文件到EPCS16芯片中。

流程

1.打开quartus软件并打开convert programming files:

如图示:

2.首先更改文件类型,这里选择jic文件类型,配置器件选择EPCS16(根据自己板子上是啥选择),再更改输出文件名字:

3.点击flash loader,再添加器件(根据板子altera芯片选择):

4.点击sof data,然后添加sof文件:

5.点击generate生成;

6.烧写界面选择jic文件烧写即可。

以上。

时间: 2024-08-06 14:09:58

Quartus prime 16.0 中通过JTAG固化程序的相关文章

Quartus prime 16.0 in_system memory content editor 使用

前言 quartus提供了片内存储器的实时查看与修改,而不用编译工程,很棒.你可以方便的查看到存储器中到底存储了什么东西. 流程 1.打开: 2.主界面: 3.设置jtag项之后,查看即可. signal抓波看是一致的: 4.修改数据: 写入数据: 5.signaltapII查看数据,可以看到内容已更改: 以上.

Quartus prime 16.0 signaltap II 使用

前言 由于逻辑分析仪太贵,altera贴心提供signal tap II来观察输出波形,不过使能signaltap II会占用片内ram,毕竟原理就是把数据采样到ram中再通过jtag口上传到quartus中显示. 流程 1.项目全编译完成后,打开signaltapII: 2.打开默认有一个文件,重命名它设置完触发条件记得保存: 触发与显示信号设置窗口: 3.右侧有个设置采样窗口:时钟可以使用系统时钟,采样深度视项目而定,其他的默认即可. 4.重新全编译工程并设置jiag项: 5. 下板子调试即

quartus prime 16.0 报警告 inferring latch

前言 当写always组合逻辑块时,可能会写出 poor code.综合时软件会推断出锁存器.例如下面代码: 1 always @* begin 2 if (c == 1'b1) begin 3 w = (a & b) ^ c; 4 end 5 end 当c等于0的时候,w就会保持上一个值,所以就产生了锁存器,quartus就会贴心的给你报一个警告. inferring latch(es) for signal or variable "ram", which holds it

在 NetBeans IDE 6.0 中分析 Java 应用程序性能

NetBeans IDE 6.0 包含一个强大的性能分析工具,可提供与应用程序运行时行为有关的重要信息.通过 NetBeans 性能分析工具,我们可以方便地在 IDE 中监控应用程序的线程状态.CPU 性能以及内存使用情况,而且产生的开销相对较少. 本文将概述 IDE 中包含的性能分析工具,并指导您快速开始分析 NetBeans 项目的性能.本文旨在演示 IDE 中可用的各种性能分析任务以及分析项目性能时可以获得的分析结果.但并不覆盖 IDE 中包含的所有性能分析功能,也不会深入探索如何研究性能

centos 7 运行Quartus ii 17.0 标准版,下载程序时遇到错误error (209053): unexpected error in jtag server -- error code 89

对于错误error (209053): unexpected error in jtag server -- error code 89,它产生的原因在于,在linux系统下,Quartus ii的驱动USB-Blaster只能有root用户使用,而普通用户是无权使用的.解决思路是更改USB-Blaster的使用权限,使得普通用户也能使用.对此altera也有给出相应的解决方案,详细见USB-Blaster Driver for Linux. 为使大家更易在linux下操作,现将具体的步骤介绍如

盘点 React 16.0 ~ 16.5 主要更新及其应用

目录 0. 生命周期函数的更新 1. 全新的 Content API 2. React Strict Mode 3. Portal 4. Refs 5. Fragment 6. 其他 7. 总结 生命周期函数的更新 随着 React 16.0 发布, React 采用了新的内核架构 Fiber,在新的架构中它将更新分为两个阶段:Render Parse 和 Commit Parse, 也由此引入了 getDerivedStateFromProps . getSnapshotBeforeUpdat

10.16输入一个字符串,内有数字和非数字字符,如: a123x456 17960? 302tab5876 将其中连续的数字作为一个整数,依次存放到一数组num中。例如123放在num[0]中,456放在num[1]中……统计共有多少个整数,并输出这些数。

10.16输入一个字符串,内有数字和非数字字符,如: a123x456 17960? 302tab5876 将其中连续的数字作为一个整数,依次存放到一数组num中.例如123放在num[0]中,456放在num[1]中--统计共有多少个整数,并输出这些数. #include <stdio.h> int main(){ void search(char * parr, int * pnum); char arr[100],* parr; int num[30],* pnum; parr=arr;

PTC.Mathcad.Prime.5.0.0.0.Win64 +RAM Connection CONNECT Edition 12.00.01.40

Truth.Concepts.v2.00.0.59 1CD Cadence Allegro and OrCAD 17.20.000-2016 HF045 Update 1DVDCadence Allegro系统互连平台能够跨集成电路.封装和PCB协同设计高性能互连.应用平台的协同设计 方法,工程师可以迅速优化I/O缓冲器之间和跨集成电路.封装和PCB的系统互联.该方法能避免硬件返 工并降低硬件成本和缩短设计周期.约束驱动的Allegro流程包括高级功能用于设计捕捉.信号完整性和 物理实现.由于它

看看C# 6.0中那些语法糖都干了些什么(终结篇)

终于写到终结篇了,整个人像在梦游一样,说完这一篇我得继续写我的js系列啦. 一:带索引的对象初始化器 还是按照江湖老规矩,先扒开看看到底是个什么玩意. 1 static void Main(string[] args) 2 { 3 Dictionary<string, string> dic = new Dictionary<string, string>() 4 { 5 ["Name"] = "ctrip", 6 ["Age&qu