Modelsim和Quartus II联合使用

写在前面的话

在这里梦翼师兄想特地强调一下Modelsim 这个软件,那么这个软件到底是做什么的呢?在这里,我问大家一个问题,假设我们有一块硬件电路板设计完成以后,不知道功能是否正常,那么我们需要怎么做呢?答案很简单的啦,就是我们所谓的“测试”,给电路板上电以后,输入一定的测试激励,观察电路板有什么样的结果输出,如果输出结果和输入激励的对应关系是正确的,说明电路板没有问题,反之,如果电路板有问题,通过这种方式,我们也能最终确定是哪一个部分出现了问题。

测试硬件电路板是这样,那么测试我们的代码逻辑自然也是同样的道理。我们写的是硬件描述语言,代码写完以后,就可以等效于在FPGA片内实现了一个硬件电路块,那么这个电路块是否能够按照我们的预期进行工作呢?我们也需要对他进行测试。当然了,这里的测试,指的可不是用一堆的导线、电源线连接起来,组成密密麻麻的网络去做测试,如果是这样,以梦翼师兄的智商,估计早就干不下去了呢!

现在的主流不就是电子设计自动化吗?Modelsim 就是这样神奇的一款软件,我们只需要对其进行简单的编程,就可以模拟真实环境下各种复杂信号的输入,利用软件提供的显示界面和窗口,我们可以方便的查看我们代码逻辑所有信号线的电平变化,可以帮助我们迅速定位问题所在。

Quartus II和Modelsin联合使用

根据前面小节的步骤,我们已经安装好了开发必备的软件工具QuartusII 15.0 以及仿真工具Modelsim。可是,这两个工具如何使用呢?本节,梦翼师兄将通过一个很简单的计数器工程教给大家两个工具的常用使用方式。

首先,新建一个文件夹,并命名一个有意义的英文单词(注意:文件所在路径不能包含任何的中文字符或汉字)

然后关闭该文件夹,双击并打开桌面上的Quartus软件

点击File->New Project Wizard 选项,创建一个新的工程,出现如下界面

点击【Next】

然后选择工程所在路径如下

给工程命名如下(建议:工程名称最好和文件夹名称一致)

点击【Next】

点击【Next】

上边这个界面是让我们添加现有的已经写好的文件,因为我们没有写好的文件,所以忽略这一步,直接点击【Next】

当前界面下,我们可以选择自己所使用的具体芯片型号,梦翼师兄选择芯片型号如下:

点击【Next】

选择仿真工具和语言如下

点击【Next】,出现我们的工程设置报告

点击【Finish】退出,完成工程建立

通过以上步骤,一个新的工程就建立完毕了,接下来如果要录入代码,我们需要新建一个文本编辑器。

点击File->New 出现如下对话框

点击【Verilog HDL File】,创建一个Verilog的文本编辑器,选中以后点击【OK】

接下来,我们就可以在这个白色的编辑界面下录入我们的代码

代码编写完毕之后我们需要对其进行编译,检查是否有语法错误。编译的快捷方式有两种【ctrl+L】和【ctrl+K】。【ctrl+L】指的是全编译,软件不但会检查代码的语法,同时还会布局布线,将代码映射成具体的网表电路,如果我们需要将代码下载到开发板则在下载之前必须进行一次全编译,全编译的时间也相对较长。【ctrl+K】指的是普通的编译,只是检查语法错误,编译速度较快。在这里,梦翼师兄点击【ctrl+L】,编译以后的结果如下:

上图所示界面为资源报告,编译结束以后,软件会告诉使用者片内资源的使用情况。在底侧的报告栏提示“EDA Netlist Writer was successful”,并且没有给出红色的错误报告,说明我们的代码语法正确。

刚刚说过,编译通过只能说明我们的语法没有问题,那么逻辑呢?我们想要的功能可以实现吗?这个就不得而知了,毕竟软件并不知道我们的最终目的。因此,我们必须借助于另外一个工具Modelsim进行仿真,通过波形查看具体的逻辑是否正确。

那么如何才能进行仿真呢?大家可以将仿真理解为我们平时测试电路板的过程,就是给待测单元一定的输入,观察它有什么样的输出以及内部过程如何。因此,在调用Modelsim之前,我们需要编写一段测试激励,给待测模块输入测试激励。现编写测试代码如下:

测试代码编写完毕之后,我们首先需要对软件进行一些设置。如下图所示,右键点击工程名称“counter”

选择“Settings”,出现如下界面

点击选择“Compile test bench”

点击【Test Benchs…】

点击【New】

输入测试文件模块名称如下

在“File name”这一测点击【…】

选择tb.v文件

点击【Open】

点击【Add】

点击【OK】

点击【OK】

点击【OK】,退出设置即可。通过上面的步骤,Modelsim和Quartus级联的设置就完成了。返回Quartus界面如下

选择Tools->Run Simulation Tool->RTL Simulation

点击以后出现如下Modelsim界面

点击stop按钮,停止掉现在的波形

然后【ctrl+A】选中所有的信号

点击键盘上的Delet键,删除全部波形

在“sim”工具栏选中并右键点击counter

接下来选择【Add Wave】(注:通过这种方式,我们可以在波形中看到所有的输入输出变量以及内部变量

点击“Toggle leaf names<->full names”

点击【ctrl+G】实行自动分组

右键选中信号的名称

在【Radix】选项中选择无符号类型数据,改变信号的显示进制(注:大家可以根据需要选择其他的显示进制

点击【ctrl+S】,保存波形

点击【OK】确认保存

接下来,在命令窗口(Transcript)输入restart指令

点击“回车键”确认,弹出如下对话框

继续点击“回车键”

接着在命令窗口输入“run 0.1ms” (注:具体时间可以自定义

继续点击“回车键”确认

用鼠标点击波形界面

通过上面工具栏可以缩小或者放大波形,可以查看波形的任意位置

通过以上过程,我们完成了Quartus和Modelsim的联合设计、调试过程,我们之后的学习都以此操作为基础,梦翼师兄在这里建议大家一定要勤加练习,掌握好软件的基本用法。

原文地址:https://www.cnblogs.com/mengyi1989/p/11514798.html

时间: 2024-08-25 09:17:34

Modelsim和Quartus II联合使用的相关文章

【转】Quartus II和Modelsim的联合仿真(详细)

Quartus II和Modelsim的联合仿真(详细) 原文地址: http://www.cnblogs.com/Jezze/archive/2012/09/14/2684333.html 这篇文章不需要在modelsim中建库.映射.建工程等一些繁琐的步骤,直接使用modelsim中的默认work库.使用quartus+modelsim联合仿真. 首先推荐一篇文章 http://www.cnblogs.com/emouse/archive/2012/07/08/2581223.html 首先

Quartus ii 12.0 和ModelSim 10.1 SE安装及连接

quartus ii 10.0后就没有自带的仿真软件,每次写完一个VerilogHDL都想简单仿真一下,结果发现没有了自带仿真软件.这时候就需要第三方仿真软件ModelSim 10.1 SE. Quartus ii安装与破解 1.下载Quartus ii 和Quartus ii 破解补丁.下载地址找百度,百度不到就到官网注册下载. 2.首先,安装quartus ii .next-->next-->finish.64位系统安装64位的,32位的装32位的,还有一个问题就是quartus ii 1

【转】Quartus II调用modelsim无缝仿真

Quartus II调用modelsim无缝仿真 ★emouse 思·睿博客文章★ 原创文章转载请注明:http://emouse.cnblogs.com 本篇文章为转载,写的不错,最近在学modelsim仿真,网上的教程很乱,把自己认为不错的整理贴出来,后面有机会会写个详细点的. Quartus 中调用modelsim的流程 1. 设定仿真工具 assignmentèsettingèEDA tool settingèsimulation 选择你需要的工具.  2. 自动产生测试激励文件模板: 

Quartus系列:Quartus II 调用ModelSim仿真调试

1.新建一个项目过程参考" Quartus II 创建工程流程",在该流程第5步时,选在EDA Tool的窗口时,选择第三方的EDA工具以及设计使用的设计语言,如下图所示: 设置完成后,按照之前流程直到项目创建成功. 2.创建成功后,对设计进行编译,确认设计编译正确,即可进入下一环节设置测试平台.编译如下图所示: 3.在新建工程的过程中,如果已经设置了EDA仿真工具为ModelSim,如果没有设置EDA仿真工具,可以按照下图在工程创建完成后再次设置EDA仿真工具: 在设置好仿真工具之后

浅谈用ModelSim+Synplify+Quartus来实现Altera FPGA的仿真

浅谈用ModelSim+Synplify+Quartus来实现Altera FPGA的仿真 工作内容: Mentor公司的ModelSim是业界最优秀的HDL语言仿真软件,它能提供友好的仿真环境,是业界唯一的单内核支持VHDL和Verilog混合仿真的仿真器.它采用直接优化的编译技术.Tcl/Tk技术.和单一内核仿真技术,编译仿真速度快,编译的代码与平台无关,便于保护IP核,个性化的图形界面和用户接口,为用户加快调错提供强有力的手段,是FPGA/ASIC设计的首选仿真软件. Synplify.S

Quartus II 11.0 开始使用

一.Altera Quartus II 11.0套件介绍 所谓巧妇难为无米之炊,再强的软硬件功底,再多的思维创造力,没有软件的平台,也只是徒劳.因此,一切创造的平台——Quartus II 软件安装,由零开启的世界,便从此开始. 自从Bingo 2009年开始接触FPGA,Quartus II 版本的软件从n年前的5.1版本到今天的最新发布的11.0,都使用过:当然对于软件核心构架而言,万变不离其宗.虽然多多少少有点bug,但这10多个版本发展到了现在,能看到Altera一直在努力,致力于更完美

Quartus II 14.0正式版 下载链接和破解器

Windows版本 必装组件: Quartus II http://download.altera.com/akdlm/software/acdsinst/14.0/200/ib_installers/QuartusSetup-14.0.0.200-windows.exe   1.45GBhttp://download.altera.com/akdlm/software/acdsinst/14.0/200/ib_installers/QuartusHelpSetup-14.0.0.200-win

[重要更新][Quartus II][14.1正式版]

[Quartus II][14.1正式版] ----14.1版本最大的变化就是增加了2大系列的器件库: MAX 10和Arria 10.这2大系列据Altera中国区代理 骏龙科技的人说,就是为了和Xilinx打价格战的,其中MAX 10系列结构与性能和Cyclone IV差不多,价格更低,而且可以加密,集成了2个配置器件,Cyclone V系列是Cyclone最后一代产品了,从MAX 10开始Cyclone和MAX就合并了,以后都叫MAX了,都是FPGA集成高速配置器件的结构.Arria 10

Verilog的IDE Quartus II

Quartus II  主要用于Verilog的开发,他是开发FPGA的利器,但他需要和modelsim相互配合,才能实现它的编写和仿真.modelsim是第三方的EDA,需要另外安装,对于Quartus开发的项目,需要我们使用Testbench方法,才能在modelsim中进行仿真. modelsim   软件链接:http://pan.baidu.com/s/1i3D5CHJ 密码:grix