ZYNQ随笔——AXI4总线

1. AXI4通道
读地址通道(Read address channel, AR)
写地址通道(Write address channel, AW)
读数据通道(Read data channel, R)
写数据通道(Write data channel, W)
写响应通道(Write response channel, B)
每个通道由一个信号构成,并且使用双向的VALID和READY握手信号机制。
2. AXI4信号定义

3. AXI4读写波形
AXI4突发写波形,如下图所示。

AXI4读突发波形,如下图所示。

4. AXI4-Lite
AXI4-Lite接口是AXI4接口的子集,规模较小,有如下特点:

  • 所有交易的突发长度为1
  • 所有访问数据的宽度相同
  • 只支持32位或64位访问
  • 所有访问AWCACHE和ARCACHE等于0
  • 不支持互斥操作

原文地址:https://blog.51cto.com/shugenyin/2425300

时间: 2024-08-30 10:17:53

ZYNQ随笔——AXI4总线的相关文章

[转]AXI4总线简介

(一)AXI总线是什么? AXI是ARM 1996年提出的微控制器总线家族AMBA中的一部分.AXI的第一个版本出现在AMBA3.0,发布于2003年.当前的最新的版本发布于2010年. AXI4:主要面向高性能地址映射通信的需求: AXI4-Lite:是一个简单地吞吐量地址映射性通信总线: AXI4-Stream:面向高速流数据传输: AXI4总线分为主.从两端,两者间可以连续的进行通信. ISE从12.3版本,Virtex6,Spartan6芯片开始对AXI4总线提供支持,并且随着Xilin

ZYNQ随笔——PL端按键中断之裸机设计

1. ZYNQ中断简述ZYNQ中断类型:普通中断请求(IRQ, Interrupt Request)和快速中断请求(FIQ, Fast Interrupt Request).ZYNQ中断源:软件中断(SGI, Software Generated Interrupt).CPU私有设备中断(PPI, Private Peripheral Interrupt)和共享设备中断(SPI, Shared Peripheral Interrupt).而PL端的按键中断属于共享设备中断.2. 硬件平台搭建在B

ZYNQ随笔——简述

1. 硬核处理器与软核处理器在芯片内的硅片上通过划分一定的区域来实现处理器功能称为硬核处理器.在一些对处理器性能要求不高的场合,通过使用FPGA内部资源搭建一个处理器功能称为软核处理器.如果采用硬核处理器,整个芯片的成本会较高,且灵活性相对较差,但性能较高.如果采用软核处理器,成本较低,同时灵活性较高,但性能较低.2. Zynq-7000 SOC功能结构Zynq-7000由PS(Processing System)和PL(Programmable Logic)组成,内部结构图如下图所示. 应用处

ZYNQ随笔——AXI_GPIO裸机设计

1. 硬件平台搭建在Block Design里添加ZYNQ7 Processing System和AXI_GPIO模块,双击AXI_GPIO设置为输出,驱动外部IO器件(如LED).搭建好的系统结构如下图所示:2. 软件SDK设计SDK软件设计可以参考官方设计文档,主要API函数有, int XGpio_Initialize(XGpio * InstancePtr,u16 DeviceId) void XGpio_SetDataDirection(XGpio * InstancePtr,unsi

一步一步学ZedBoard & Zynq(四):基于AXI Lite 总线的从设备IP设计 转载

文章来源 http://www.cnblogs.com/surpassal/archive/2012/10/09/Zynq_Lab4.html 本小节通过使用XPS中的定制IP向导(ipwiz),为已经存在的ARM PS 系统添加用户自定IP(Custom IP ),了解AXI Lite IP基本结构,并掌握AXI Lite IP的定制方法,为后续编写复杂AXI IP打下基础.同时本小节IP定制方法同样适用于MicroBlaze处理系统. 本小节定制的是简单LED的IP,只有一个数据寄存器,向其

xilinx vivado zynq vdma仿真及应用详解(一)

很多人用zynq平台做视频图像开发,但是对vdma了解比较少,上手起来稍微有些困难,我针对这一现象,做了一个基于vivado和modelsim的仿真和应用测试工程,并写篇文章做些介绍,希望能对大家有帮助. 一:xilinx vdma IP例化以及接口介绍 上面图片就是在vivado2015.4中例化vdma的界面,首先对参数做些介绍: Frame Buffers :选择vdma缓存几帧图像,这里默认是写通道和读通道都设置相同的缓存帧数,具体设置多少帧合适一般根据应用来定,比如读写带宽相同,想用d

将自己写的HDL代码封装成带AXI总线的IP

1.Tools->create and package IP 2.create AXI4总线的IP 3.新建block design 4.点击右键,选择edit in ip packager 此时生成了一个新的工程: 5.对生成的.v文件进行编辑 6.先修改顶层文件 添加一个LED输出端口 其实在文件的下面还可以添加自己的逻辑: 7.修改源文件 先添加端口,下面还有提示,编辑端口不要超过这条线.下面都是AXI总线的端口,不要去动它. 8.下一步操作 选择->Merge changes from

FPGA实战操作(2) -- PCIe总线(例程设计分析)

1.框架总览 平台:vivado 2016.4 FPGA:A7 在实际应用中,我们几乎不可能自己去编写接口协议,所以在IP核的例程上进行修改来适用于项目是个不错的选择. 通过vivado 中有关PCIe的IP核,生成相应的例程,综合之后可以得到如下图的工程结构. 如果在自己的项目中直接使用IP核的话,生成的只有pcie_7x_0这个模块,在应用层面还需要编写相应的解析和组装模块.好在该例程已经帮我们把这部分模块编写好了.例程简单的工作流程图如下图所示. 关于PCIe入门的简单协议介绍,可以参考博

小白初学zedboard 2

继续之前的问题.. 查了一些资料,其中zhaoyongke的博客http://www.openhw.org/zhaoyongke/blog/13-04/293202_a1698.htmlAXI总线学习中 提到: ARM将用户自定义IP编入某一地址进行访问,读写时就像在读写自己的片内RAM,编程也很方便,开发难度较低.代价就是资源占用过多,需要额外的读地址线.写地址线.读数据线.写数据线.写应答线这些信号线,而且传输速度受限(主要是因为采用AXI-GP物理接口,带宽很低). 我这种应用 用AXI4