UVM中factory机制的使用

UVM中的factory机制一般用在sequence的重载,尤其是virtual sequence。当Test_case变化时,通过virtual sequence的重载,可以很容易构建新的测试。

因为virtual可以控制选择sequence,sequence的启动,执行顺序等。

在build_phase阶段,不需要改变default_sequence,不需要改变别处代码。

Transaction的重载,可以在不改变sequence,default_sequence的情况下,改变Transaction中数据的constraint。可以与sequence的重载一起使用,即可

以完成constraint的改变,又可以完成sequence的类型,执行顺序等的改变。

component级别的重载没有遇到过,应该在DUT及其复杂的情况下,才会使用吧。

时间: 2024-08-05 03:38:59

UVM中factory机制的使用的相关文章

UVM的factory机制

在UVM中使用工厂模式基本上分为三个步骤: 1. 注册 当定义一个类的时候,它的类型必须要注册,UVM已经提供了专用的宏. `uvm_component_utils(class_type_name) `uvm_component_param_utils(class_type_name #(params)) `uvm_object_utils(class_type_name) `uvm_object_param_utils(class_type_name #(params)) 这四个宏中两个是为参

UVM中Callback机制

Callback机制,其实是使用OOP来实现的一种程序开发者向程序使用者提供的模块内部的接口.可以在Test_case的高度改变其他component的一些行为. Systemverilog中已经提供的Callback函数pre_randomize,post_randomize.对于UVM和VIP来说,其他的CallBack函数都是自己程序的开发者事先 留下的hook. Callback的实现: 1)首先直接从component扩展出新的类来实现pre_do等function不可取.因为comp

2.2.2 加入factory机制

上一节给出了一个只有driver.使用UVM搭建的验证平台.严格来说这根本就不算是UVM验证平台,因为UVM的特性几乎一点都没有用到.像上节中my_driver的实例化及drv.main_phase的显式调用,即使不使用UVM,只使用简单的SystemVerilog也可以完成.本节将会为读者展示在初学者看来感觉最神奇的一点:自动创建一个类的实例并调用其中的函数(function)和任务(task). 要使用这个功能,需要引入UVM的factory机制: 代码清单 2-7 文件:src/ch2/s

UVM基础之---------uvm factory机制register

factory机制的一大特点就是根据类的名字来创建类的实例. factory 机制中根据类名来创建类的实例所用到的技术:一是参数化的类,二是静态变量和静态函数.这两者是factory机制实现的根本所在. UVM 中有两大关键类,uvm_object 和 uvm_component.一个 uvm_object 在定义时一般要调用 uvm_object_utils 宏,而一个 uvm_component 在定义时要调用uvm_component_utils宏.factory所有的操作都通过这两个宏来

UVM:9.1.3 UVN 中callback 机制的原理

1.如下的 callback: 1)假设这是一个城市的VIP 的driver,pre_tran 应该是my_driver 的一个函数,要callback pre_tran.factory可以,但这里不考虑. 2.新引入一个类: 1)可以避免重新定义一次my_driver,只需要重新定义A的pre_tran.重新派生A 的代价远小于my_driver. 3.使用的时候,只要从A 派生一个类并将其例化,然后重新定义pre_tran 函数,callback 目的就达到了. 1)但却忽略了一点.my_d

UVM基础之---------uvm factory机制base

从名字上面就知道,uvm_factory用来制造uvm_objects和component.在一个仿真过程中,只有一个factory的例化存在. 用户定义的object和component types通过typedef或者宏在factory中注册,factory产生和保存轻量级的代理(proxy): 1.  uvm_object_registry #(T,Tname) for objects 2.  uvm_component_registry #(T,Tname) for components

UVM:9.2.2 小而美与factory 机制的重载

1.小而美与factory 机制的重载 2.原始A_driver 的drive_one_pkt 如下: 1)分成3个子任务. 2)如果要构造sfd 错误的例子,只需要从A_driver 派生B_driver,并重载drive_sfd 即可. 3.如果不是分成3个子任务,而是一个完整的任务: 1)那么在B_driver  中需要重载的是整个drive_one_pkt 这个任务: 此时,其他两个子函数的代码要复制到drive_one_pkt 中,容易产生错误.代码要重用,可以封装成可重载的函数/任务

UVM中的class

UVM中的类包括:基类(base)------------uvm_void/uvm_object/uvm_transaction/uvm_root/uvm_phase/uvm_port_base 报告(reporting)--------uvm_report_object/uvm_report_handler/uvm_report_server/uvm_report_catcher Factory---------uvm_*_register/uvm_factory 配置(config)----

Objective-C中runtime机制的应用

Objective-C中runtime机制的应用 一.初识runtime Objective-C是一种动态语言,所谓动态语言,是在程序执行时动态的确定变量类型,执行变量类型对应的方法的.因此,在Object-C中常用字符串映射类的技巧来动态创建类对象.因为OC的动态语言特性,我们可以通过一些手段,在程序运行时动态的更改对象的变量甚至方法,这就是我们所说的runtime机制. 二.你还有什么办法操作这样的变量么? 首先,我们先来看一个例子,这里有我创建的一个MyObject类: ? 1 2 3 4