1、常用的行为仿真描述语句
利用循环完成遍历
for、while语句常用于完成遍历测试。当设计代码包含了多个工作模式,那么就需要对各种模式都机型遍历测试,如果手动完成每种模式的测试,则将造成非常大的工作量。利用for循环,通过循环下标来传递各种模式的配置,不仅可以有效减少工作量,还能保证验证的完备性,不会漏掉任何一种模式。
(1) for循环仿真
可综合文件:
module signedMul( input clk, input rstn, input [7:0] a, input [7:0] b, output [15:0] q ); reg signed[7:0] ra; reg signed[7:0] rb; always @(posedge clk or negedge rstn) begin if(~rstn) begin ra <= 0; rb <= 0; end else begin ra <= a; rb <= b; end end assign q = ra * rb; endmodule
激励文件:
module tb_sigendMul; // Inputs reg clk; reg rstn; reg [7:0] a; reg [7:0] b; // Outputs wire [15:0] q; // Instantiate the Unit Under Test (UUT) signedMul uut ( .clk(clk), .rstn(rstn), .a(a), .b(b), .q(q) ); //循环参数 parameter mod_num = 5; integer i; initial begin forever #10 clk = ~clk; end initial begin // Initialize Inputs clk = 0; rstn = 0; a = 0; b = 0; #20 rstn = 1; //使能 for(i=0;i<(mod_num-1);i= i +1) begin case(i) 0:begin #20; a = 2; b = -2; end 1:begin #20; a = 5; b = 127; end 2:begin #20; a = -127; b = -2; end 3:begin #20; a = 100; b = 5; end 4:begin #20; a = 0; b = -1; end endcase end // Add stimulus here end endmodule
(2) 循环语句的异常处理
通常,循环语句都会有一个“正常”的出口,比如当循环次数达到了循环计数器所指定的次数或while表示式不再为真。然后,使用disable语句可以退出任何循环,能够终止任何begin....end块的执行,从紧接这个块的下一条语句继续执行。
disable语句的典型示例
(3) wait语句
wait语句是一种不可综合的电平触发事件控制语句,有如下两种形式:
(1) wait(条件表达式) 语句/语句块;
(2) wait(条件表达式);
对于第一种形式,语句块可以是串行块(begin...end)或并行块(fork...join)。当条件表达式为真再开始执行。例如:
在第二种形式中,没有包含执行的语句块。当仿真执行到wait语句的执行,仿真进程继续往下进行,如果wait条件表达式不为真,则仿真进程进入等待状态,直到条件表达式为真。
激励文件:
module tb_wait; reg [7:0] q0_out; reg flag; //initial初始化语句块1 initial begin flag = 0; #100 flag = 1; #100 flag = 0; end //initial初始化语句块2 initial begin q0_out = 0; wait(flag == 1) begin //wait语句 q0_out = 100; #100; end q0_out = 255; end endmodule
(3) TASK和FUNCTION语句
task语句和function语句在仿真程序中发挥了最大优势,可以将固定操作封装起来,配合延时控制语句,可精确模拟大多数常用的功能模块,具备良好的可重用性。
例:完成平方操作
module tb_tri; parameter bsize = 8; parameter clk_period = 2; parameter cac_delay = 6; reg [(bsize-1):0] din; reg [(2*bsize-1):0] dout; //定义完成3次方运算的task task tri_demo; input signed [(bsize - 1):0] din; output signed [(2*bsize-1):0] dout; #cac_delay dout = din*din; endtask //在串行语句块中调用完成3次运算的task initial begin din = 0; end always #clk_period begin din = din - 10; //任务调用语句 tri_demo(din,dout); end endmodule
时间: 2024-11-08 12:51:53