modelSIM仿真时objects空白无显示问题

http://blog.csdn.net/yyywxk/article/details/68947172

笔者在做modelsIM实验时,在编译好相关文件后,准备进行相关仿真实验,此时意外发生了。

无论笔者怎么点击仿真界面,object页面下始终没有是空白没有任何东西。同时,下方的transcript页面底部一直显示loading....。在等待足够长的时间后,最终显示“failed to start simulation kernel”。

对于这种状况,目前网络上的给出了两种方法。

1)点击工具栏中的“simulate”按钮,调出start smulation窗口,把窗口中最下边optimization栏中的Enable optimization项目前的钩钩去掉,然后选择仿真的文件,点击OK。

2)找到modelsim安装目录下的modelsim.ini文件,将VoptFlow = 1注释掉,或者把1改为0。

笔者尝试了以上两种方法多次,并多次重新安装modelsIM,均无法解决问题。

最后笔者最终发现,发生这种情况的根源是win10自带的防火墙的问题。只有关闭防火墙,再重新打开软件进行仿真就能出现正常的仿真界面。

笔者的笔记本偶然更新了一下系统,导致电脑自带的防火墙开启,从而导致了modelsIM出现仿真无法进行。笔者已验证。

关闭防火墙的方法为:控制面板>>系统和安全>>Windows防火墙。找到如下这个界面后,点击“启用或关闭

Windows防火墙”,关闭Windows防火墙。

建议大家关闭防火墙后开启市面上常见的管家对电脑进行保护,实测腾讯管家对modelsIM仿真无影响。

原文地址:https://www.cnblogs.com/lamblabs/p/8604393.html

时间: 2024-08-01 07:22:23

modelSIM仿真时objects空白无显示问题的相关文章

modelsim仿真时让状态机波形显示状态的名字

在使用Verilog编写有限状态机等逻辑的时候,状态机的各个状态通常以参数表示(如IDLE等).当使用ModelSim仿真的时候,状态机变量在wave窗口中以二进制编码的形式显示,如下面所示,这种显示形式不是很直观,但我们可以使用ModelSim提供的命令将状态机变量以“文本”形式的参数名显示,从而有利于调试. 下面以一个实例来说明. 代码来源:http://www.cnblogs.com/oomusou/archive/2011/06/05/fsm_coding_style.html 源文件:

Keil MDK 5.14 仿真时System Viewer菜单显示空白和Peripherals菜单无外设寄存器

keil mdk5.14新建工程进行仿真时,进入Debug环境发现System Viewer菜单显示空白,Peripherals菜单没有外设寄存器.如图1和图2所示.打开Oprons for Target 查看System Viewer File选项没有勾选Use Custom File,系统默认的STM32F103xx.svd.如图3所示.查看Debug选项中的Dialog DLL一栏写着DCM.DLL.Parameter一栏写着-pCM3.如图4所示. 图1   System Viewer菜

还原dede数据后系统基本参数空白无显示的解决方法

有时dedecms开发的网站在更换空间还原数据后,出现“系统基本参数”空白,而且可以看到tag也没有了. 大家不妨看看后台“数据库备份/还原”处,已经还原后的表格中dede_sysconfig.dede_sys_set .(dede_taglist)记录数为0. 其实解决的方法很简单,我们从头说起: 首先在原来空间将数据备份,并上传到新空间,在data/backupdata/文件夹里. 首先更换空间后,重新安装,如果你的网站根目录里还有install文件夹(如果已经删掉了,那就重新下载个程序,把

ReportControl设置无显示内容时的提示文字

由于项目显示内容列表采用的是codejock software里面的ReportControl控件. 在没有显示内容时,提示文字"There are no items to show",想显示成中文的提示内容. 后台修改代码: this.reportControl控件名称.PaintManager.NoItemsText = "修改为自己的中文空行提示内容"; 即可! ReportControl设置无显示内容时的提示文字,布布扣,bubuko.com

还原dede数据后系统基本参数空白栏目无显示的解决方法

有时dedecms开发的网站在更换空间还原数据后,出现"系统基本参数"空白,而且可以看到tag也没有了. 大家不妨看看后台"数据库备份/还原"处,已经还原后的表格中dede_sysconfig.dede_sys_set .(dede_taglist)记录数为0. 其实解决的方法很简单,我们从头说起: 首先在原来空间将数据备份,并上传到新空间,在data/backupdata/文件夹里. 首先更换空间后,重新安装,如果你的网站根目录里还有install文件夹(如果已经

自定义元件package时,元件的新建属性在原理图中无显示

在制作元件package封装,选择package type 封装为Heterogeneous,给元件新定义封装,却在原理图中显示不了. 解决方法: 1.调出如图所示User Property对话框(Option---->Part properties),图中方框中的packGRP为笔者定义属性,却没在原理图中显示. 2.选中新建属性-----点击右侧的Display,如下图所示: 选择如上图方框显示的选项,点击OK:设置完毕! 再从原理图中更新元件就可以了! 自定义元件package时,元件的新

Quartus和Modelsim仿真ROM模块

新建一个工程 选择工程保存路径,为工程取一个名字 Page 2 of 5 直接点击next ? ? Page 4 of 5 直接点击next ? 下面为工程添加文件 ? 需要注意模块名和模块所在文件的文件名要一致,下面的代码保存在Wave.v这个文件中 module Wave( input i_clk, input i_rst_n, output reg[7:0] led ); ? parameter Delay500MS = 10; ? reg clk_led; reg[24:0] cnt;

ModelSim仿真入门 精讲

ModelSim仿真入门之一:软件介绍 编写这个教程之前,为了让不同水平阶段的人都能阅读,我尽量做到了零基础入门这个目标,所有的操作步骤都经过缜密的思考,做到了详细再详细的程度. 如果您是FPGA开发方面的初学者,那么这个教程一定能够帮助你在仿真技术上越过新人的台阶:如果您是FPGA开发的老手,这篇文档也并非对您没有帮助,您可以把教程发给其他刚入门的同事,免去您亲自上阵指导的麻烦,把主要的精力放在更有价值的地方. 一.FPGA设计仿真验证简介 严格来讲,FPGA设计验证包括功能仿真.时序仿真和电

88.modelsim仿真do文件相关技巧

网上的关于DO文件的编写好像资料不多,比较杂,所以本人总结一下常用的简单语法,方便大家查看.其实本人也刚接触DO文件没多久,有纰漏很正常,欢迎指正批评,互相学习.PS:写得有点乱   还有一个值得注意的是 我在看到这篇文章的时候我正在仿真一个verilog文件,文件中调用了一个ROM , 但是我怎么仿真 rom的输出文件都有问题, 经过一个QQ好友的指点,我发现竟然是我 QUARTUS 下考过来的库文件 有问题, 自此提醒遇到过此问题 但还没有解决的同学.. 一.DO文件的简介和工作方式 DO文